commit ef1ee1e003caa926de7ddd67c1b937e6d27e3fe5 Author: Alec Obradovich Date: Thu Feb 21 20:27:53 2013 -0600 init diff --git a/.gitattributes b/.gitattributes new file mode 100644 index 0000000..412eeda --- /dev/null +++ b/.gitattributes @@ -0,0 +1,22 @@ +# Auto detect text files and perform LF normalization +* text=auto + +# Custom for Visual Studio +*.cs diff=csharp +*.sln merge=union +*.csproj merge=union +*.vbproj merge=union +*.fsproj merge=union +*.dbproj merge=union + +# Standard to msysgit +*.doc diff=astextplain +*.DOC diff=astextplain +*.docx diff=astextplain +*.DOCX diff=astextplain +*.dot diff=astextplain +*.DOT diff=astextplain +*.pdf diff=astextplain +*.PDF diff=astextplain +*.rtf diff=astextplain +*.RTF diff=astextplain diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..b9d6bd9 --- /dev/null +++ b/.gitignore @@ -0,0 +1,215 @@ +################# +## Eclipse +################# + +*.pydevproject +.project +.metadata +bin/ +tmp/ +*.tmp +*.bak +*.swp +*~.nib +local.properties +.classpath +.settings/ +.loadpath + +# External tool builders +.externalToolBuilders/ + +# Locally stored "Eclipse launch configurations" +*.launch + +# CDT-specific +.cproject + +# PDT-specific +.buildpath + + +################# +## Visual Studio +################# + +## Ignore Visual Studio temporary files, build results, and +## files generated by popular Visual Studio add-ons. + +# User-specific files +*.suo +*.user +*.sln.docstates + +# Build results + +[Dd]ebug/ +[Rr]elease/ +x64/ +build/ +[Bb]in/ +[Oo]bj/ + +# MSTest test Results +[Tt]est[Rr]esult*/ +[Bb]uild[Ll]og.* + +*_i.c +*_p.c +*.ilk +*.meta +*.obj +*.pch +*.pdb +*.pgc +*.pgd +*.rsp +*.sbr +*.tlb +*.tli +*.tlh +*.tmp +*.tmp_proj +*.log +*.vspscc +*.vssscc +.builds +*.pidb +*.log +*.scc + +# Visual C++ cache files +ipch/ +*.aps +*.ncb +*.opensdf +*.sdf +*.cachefile + +# Visual Studio profiler +*.psess +*.vsp +*.vspx + +# Guidance Automation Toolkit +*.gpState + +# ReSharper is a .NET coding add-in +_ReSharper*/ +*.[Rr]e[Ss]harper + +# TeamCity is a build add-in +_TeamCity* + +# DotCover is a Code Coverage Tool +*.dotCover + +# NCrunch +*.ncrunch* +.*crunch*.local.xml + +# Installshield output folder +[Ee]xpress/ + +# DocProject is a documentation generator add-in +DocProject/buildhelp/ +DocProject/Help/*.HxT +DocProject/Help/*.HxC +DocProject/Help/*.hhc +DocProject/Help/*.hhk +DocProject/Help/*.hhp +DocProject/Help/Html2 +DocProject/Help/html + +# Click-Once directory +publish/ + +# Publish Web Output +*.Publish.xml +*.pubxml + +# NuGet Packages Directory +## TODO: If you have NuGet Package Restore enabled, uncomment the next line +#packages/ + +# Windows Azure Build Output +csx +*.build.csdef + +# Windows Store app package directory +AppPackages/ + +# Others +sql/ +*.Cache +ClientBin/ +[Ss]tyle[Cc]op.* +~$* +*~ +*.dbmdl +*.[Pp]ublish.xml +*.pfx +*.publishsettings + +# RIA/Silverlight projects +Generated_Code/ + +# Backup & report files from converting an old project file to a newer +# Visual Studio version. Backup files are not needed, because we have git ;-) +_UpgradeReport_Files/ +Backup*/ +UpgradeLog*.XML +UpgradeLog*.htm + +# SQL Server files +App_Data/*.mdf +App_Data/*.ldf + +############# +## Windows detritus +############# + +# Windows image file caches +Thumbs.db +ehthumbs.db + +# Folder config file +Desktop.ini + +# Recycle Bin used on file shares +$RECYCLE.BIN/ + +# Mac crap +.DS_Store + + +############# +## Python +############# + +*.py[co] + +# Packages +*.egg +*.egg-info +dist/ +build/ +eggs/ +parts/ +var/ +sdist/ +develop-eggs/ +.installed.cfg + +# Installer logs +pip-log.txt + +# Unit test / coverage reports +.coverage +.tox + +#Translations +*.mo + +#Mr Developer +.mr.developer.cfg diff --git a/_ngo/netlist.lst b/_ngo/netlist.lst new file mode 100644 index 0000000..e9066cf --- /dev/null +++ b/_ngo/netlist.lst @@ -0,0 +1,2 @@ +C:\fpga\pong\main.ngc 1361499661 +OK diff --git a/_xmsgs/bitgen.xmsgs b/_xmsgs/bitgen.xmsgs new file mode 100644 index 0000000..f84336a --- /dev/null +++ b/_xmsgs/bitgen.xmsgs @@ -0,0 +1,9 @@ + + + + + diff --git a/_xmsgs/map.xmsgs b/_xmsgs/map.xmsgs new file mode 100644 index 0000000..0e57921 --- /dev/null +++ b/_xmsgs/map.xmsgs @@ -0,0 +1,12 @@ + + + +No environment variables are currently set. + + + + diff --git a/_xmsgs/ngdbuild.xmsgs b/_xmsgs/ngdbuild.xmsgs new file mode 100644 index 0000000..f84336a --- /dev/null +++ b/_xmsgs/ngdbuild.xmsgs @@ -0,0 +1,9 @@ + + + + + diff --git a/_xmsgs/par.xmsgs b/_xmsgs/par.xmsgs new file mode 100644 index 0000000..e1a4f3b --- /dev/null +++ b/_xmsgs/par.xmsgs @@ -0,0 +1,18 @@ + + + +No user timing constraints were detected or you have set the option to ignore timing constraints ("par -x"). Place and Route will run in "Performance Evaluation Mode" to automatically improve the performance of all internal clocks in this design. Because there are not defined timing requirements, a timing score will not be reported in the PAR report in this mode. The PAR timing summary will list the performance achieved for each clock. Note: For the fastest runtime, set the effort level to "std". For best performance, set the effort level to "high". + + +CLK Net:VGADriver/W may have excessive skew because + 2 CLK pins and 0 NON_CLK pins failed to route using a CLK template. + + +N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. + + + diff --git a/_xmsgs/pn_parser.xmsgs b/_xmsgs/pn_parser.xmsgs new file mode 100644 index 0000000..e68a4d4 --- /dev/null +++ b/_xmsgs/pn_parser.xmsgs @@ -0,0 +1,15 @@ + + + + + + + + + + +Parsing VHDL file "C:/fpga/pong/vga.vhd" into library work + + + + diff --git a/_xmsgs/trce.xmsgs b/_xmsgs/trce.xmsgs new file mode 100644 index 0000000..d69208e --- /dev/null +++ b/_xmsgs/trce.xmsgs @@ -0,0 +1,21 @@ + + + +No timing constraints found, doing default enumeration. + +To improve timing, see the Timing Closure User Guide (UG612). + +To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report. + +The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet. + +This architecture does not support a default System Jitter value, please add SYSTEM_JITTER constraint to the UCF to modify the Clock Uncertainty calculation. + +This architecture does not support 'Discrete Jitter' and 'Phase Error' calculations, these terms will be zero in the Clock Uncertainty calculation. Please make appropriate modification to SYSTEM_JITTER to account for the unsupported Discrete Jitter and Phase Error. + + + diff --git a/_xmsgs/xst.xmsgs b/_xmsgs/xst.xmsgs new file mode 100644 index 0000000..fc8925d --- /dev/null +++ b/_xmsgs/xst.xmsgs @@ -0,0 +1,34 @@ + + + +"C:/fpga/pong/main.vhd" line 57: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are: +<w>, <x>, <y> + + +Output <LED> is never assigned. Tied to value 00000000. + + +Found 8-bit latch for signal <inrgb>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. + + +The FF/Latch <7> in Unit <LPM_LATCH_1> is equivalent to the following 4 FFs/Latches, which will be removed : <6> <5> <1> <0> + + +The FF/Latch <4> in Unit <LPM_LATCH_1> is equivalent to the following 2 FFs/Latches, which will be removed : <3> <2> + + +The FF/Latch <VGADriver/RGB_4> in Unit <main> is equivalent to the following 2 FFs/Latches, which will be removed : <VGADriver/RGB_3> <VGADriver/RGB_2> + + +The FF/Latch <VGADriver/RGB_7> in Unit <main> is equivalent to the following 4 FFs/Latches, which will be removed : <VGADriver/RGB_6> <VGADriver/RGB_5> <VGADriver/RGB_1> <VGADriver/RGB_0> + + +HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems. + + + + diff --git a/clockadjust.vhd b/clockadjust.vhd new file mode 100644 index 0000000..bd934af --- /dev/null +++ b/clockadjust.vhd @@ -0,0 +1,14 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_1164.ALL; + +entity clockadjust is +end clockadjust; + +architecture Behavioral of clockadjust is + +begin + + +end Behavioral; + diff --git a/ggg.ucf b/ggg.ucf new file mode 100644 index 0000000..e69de29 diff --git a/iseconfig/main.xreport b/iseconfig/main.xreport new file mode 100644 index 0000000..c325d21 --- /dev/null +++ b/iseconfig/main.xreport @@ -0,0 +1,215 @@ + + +
+ 2013-02-18T14:32:38 + main + Unknown + C:/fpga/pong/iseconfig/main.xreport + C:/fpga/pong\ + 2013-02-15T20:59:38 + false +
+ + + + + + + + + + + + + + + + + + + + + + + +
diff --git a/iseconfig/pong.projectmgr b/iseconfig/pong.projectmgr new file mode 100644 index 0000000..aff3510 --- /dev/null +++ b/iseconfig/pong.projectmgr @@ -0,0 +1,95 @@ + + + + + + + + + 2 + + + main - Behavioral (C:/fpga/pong/main.vhd) + + 0 + 0 + 000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000123000000020000000000000000000000000200000064ffffffff000000810000000300000002000001230000000100000003000000000000000100000003 + true + main - Behavioral (C:/fpga/pong/main.vhd) + + + + 1 + Configure Target Device + Design Utilities + Implement Design + Synthesize - XST + User Constraints + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f2000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f20000000100000000 + false + + + + + 1 + + + 0 + 0 + 000000ff00000000000000010000000000000000010000000000000000000000000000000000000126000000040101000100000000000000000000000064ffffffff0000008100000000000000040000004200000001000000000000002400000001000000000000006600000001000000000000005a0000000100000000 + false + + + + + 1 + work + + + 0 + 0 + 000000ff000000000000000100000000000000000100000000000000000000000000000000000000f8000000010001000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 + false + work + + + + 1 + Configure Target Device + Design Utilities + Implement Design + Synthesize - XST + User Constraints + + + Generate Programming File + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f2000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f20000000100000000 + false + Generate Programming File + + + + 1 + User Constraints + + + + + 0 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f2000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f20000000100000000 + false + + + 000000ff00000000000000020000011b0000011b01000000050100000002 + Implementation + diff --git a/main.bgn b/main.bgn new file mode 100644 index 0000000..9ceceb8 --- /dev/null +++ b/main.bgn @@ -0,0 +1,94 @@ +Release 14.1 - Bitgen P.15xf (nt) +Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. +Loading device for application Rf_Device from file '3s250e.nph' in environment +C:\Xilinx\14.1\ISE_DS\ISE\. + "main" is an NCD, version 3.2, device xc3s250e, package cp132, speed -5 +Opened constraints file main.pcf. + +Thu Feb 21 20:21:30 2013 + +C:\Xilinx\14.1\ISE_DS\ISE\bin\nt\unwrapped\bitgen.exe -intstyle ise -w -g DebugBitstream:No -g Binary:no -g CRC:Enable -g ConfigRate:1 -g ProgPin:PullUp -g DonePin:PullUp -g TckPin:PullUp -g TdiPin:PullUp -g TdoPin:PullUp -g TmsPin:PullUp -g UnusedPin:PullDown -g UserID:0xFFFFFFFF -g DCMShutdown:Disable -g StartUpClk:CClk -g DONE_cycle:4 -g GTS_cycle:5 -g GWE_cycle:6 -g LCK_cycle:NoWait -g Security:None -g DonePipe:Yes -g DriveDone:No main.ncd + +Summary of Bitgen Options: ++----------------------+----------------------+ +| Option Name | Current Setting | ++----------------------+----------------------+ +| Compress | (Not Specified)* | ++----------------------+----------------------+ +| Readback | (Not Specified)* | ++----------------------+----------------------+ +| CRC | Enable** | ++----------------------+----------------------+ +| DebugBitstream | No** | ++----------------------+----------------------+ +| ConfigRate | 1** | ++----------------------+----------------------+ +| StartupClk | Cclk** | ++----------------------+----------------------+ +| DCMShutdown | Disable** | ++----------------------+----------------------+ +| DonePin | Pullup** | ++----------------------+----------------------+ +| ProgPin | Pullup** | ++----------------------+----------------------+ +| TckPin | Pullup** | ++----------------------+----------------------+ +| TdiPin | Pullup** | ++----------------------+----------------------+ +| TdoPin | Pullup** | ++----------------------+----------------------+ +| TmsPin | Pullup** | ++----------------------+----------------------+ +| UnusedPin | Pulldown** | ++----------------------+----------------------+ +| GWE_cycle | 6** | ++----------------------+----------------------+ +| GTS_cycle | 5** | ++----------------------+----------------------+ +| LCK_cycle | NoWait** | ++----------------------+----------------------+ +| DONE_cycle | 4** | ++----------------------+----------------------+ +| Persist | No* | ++----------------------+----------------------+ +| DriveDone | No** | ++----------------------+----------------------+ +| DonePipe | Yes | ++----------------------+----------------------+ +| Security | None** | ++----------------------+----------------------+ +| UserID | 0xFFFFFFFF** | ++----------------------+----------------------+ +| MultiBootMode | No* | ++----------------------+----------------------+ +| ActivateGclk | No* | ++----------------------+----------------------+ +| ActiveReconfig | No* | ++----------------------+----------------------+ +| PartialMask0 | (Not Specified)* | ++----------------------+----------------------+ +| PartialMask1 | (Not Specified)* | ++----------------------+----------------------+ +| PartialMask2 | (Not Specified)* | ++----------------------+----------------------+ +| PartialGclk | (Not Specified)* | ++----------------------+----------------------+ +| PartialLeft | (Not Specified)* | ++----------------------+----------------------+ +| PartialRight | (Not Specified)* | ++----------------------+----------------------+ +| IEEE1532 | No* | ++----------------------+----------------------+ +| Binary | No** | ++----------------------+----------------------+ + * Default setting. + ** The specified setting matches the default setting. + +There were 0 CONFIG constraint(s) processed from main.pcf. + + +Running DRC. +DRC detected 0 errors and 0 warnings. +Creating bit map... +Saving bit stream in "main.bit". +Bitstream generation is complete. diff --git a/main.bit b/main.bit new file mode 100644 index 0000000..3e991a8 Binary files /dev/null and b/main.bit differ diff --git a/main.bld b/main.bld new file mode 100644 index 0000000..fb81aae --- /dev/null +++ b/main.bld @@ -0,0 +1,35 @@ +Release 14.1 ngdbuild P.15xf (nt) +Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. + +Command Line: C:\Xilinx\14.1\ISE_DS\ISE\bin\nt\unwrapped\ngdbuild.exe -intstyle +ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd + +Reading NGO file "C:/fpga/pong/main.ngc" ... +Gathering constraint information from source properties... +Done. + +Annotating constraints to design from ucf file "pins.ucf" ... +Resolving constraint associations... +Checking Constraint Associations... +Done... + +Checking expanded design ... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGDBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Total memory usage is 129668 kilobytes + +Writing NGD file "main.ngd" ... +Total REAL time to NGDBUILD completion: 3 sec +Total CPU time to NGDBUILD completion: 3 sec + +Writing NGDBUILD log file "main.bld"... diff --git a/main.cmd_log b/main.cmd_log new file mode 100644 index 0000000..d5c357c --- /dev/null +++ b/main.cmd_log @@ -0,0 +1,378 @@ +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd diff --git a/main.drc b/main.drc new file mode 100644 index 0000000..08567f5 --- /dev/null +++ b/main.drc @@ -0,0 +1,8 @@ +Release 14.1 Drc P.15xf (nt) +Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. + +Thu Feb 21 20:21:30 2013 + +drc -z main.ncd main.pcf + +DRC detected 0 errors and 0 warnings. diff --git a/main.lso b/main.lso new file mode 100644 index 0000000..b8f99f5 --- /dev/null +++ b/main.lso @@ -0,0 +1 @@ +work diff --git a/main.ncd b/main.ncd new file mode 100644 index 0000000..bda6523 --- /dev/null +++ b/main.ncd @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6 +###4424:XlxV32DM 3fff 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###4824:XlxV32DM 3fff 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###4160:XlxV32DM 3fff 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###4776:XlxV32DM 3fff 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###5132:XlxV32DM 3fff 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###4564:XlxV32DM 3fff 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###2872:XlxV32DM 2319 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 \ No newline at end of file diff --git a/main.ngc b/main.ngc new file mode 100644 index 0000000..a29d1bc --- /dev/null +++ b/main.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$0de4=7<2:;<<:401210>678M>0<=6>74:2B472<8H:386?>12685654928?7?>?05913C@33:98>95:5447?032?=13<=>>1::9KPRW]]0GII28:1<25>>=G\^[YY4]GC>4>58630805><4A868F476=2H^JJ^7;CWP[LHAG81H86M?4168G6MF3:AOO<=DDBUIYKI_9:ALIHOS\LN<7N\JAUGG5>B53MC:7H:4E5230>CBMH>0IHH?4:D3<5480JO<4FE18BAC43ONY86HKRD18BAU53OO?7KK?059EAC633OL3<<5H3:EM@7=O[>1C_RAMUG38M7=N8;1B=?5F239J7<=NF@^XXLZJ3:KMR7=KL?1GII2?>79OAA:66?1GII2=>79OAA:46?1GII2;>79OAA:26?1GII29>99OAA:0294=7AKK<6Q@BTDb?ICCW=UDNXHn;MGG[0YHJ\Lj7AKK_7]LFP@f3EOOS:Q@BTD1?IO53EE<7AAHIBCO0>JR\8>0@XZ=6:NVP7YK<2F^X>84LTV0[I2JR\=UG96CZXB[6?HS_N<80B==4N131?K743G;:?6@>329M505H1:2D2;6@JTVMQO4=H<2EIYK?4P99S*43nVF?7]O]T`9SMKYE]ZCOTo5_IO]AQVHFEL;0]<5]6:PDF969>2XLN1?16:PDF949>2XLN1=16:PDF929>2XLN1;16:PDF90902XLN1950?48VBD;?7k0^JLP0^MAQCgVEIYKo4RF@\3ZIE]O;0_;5\OTP@A1=SQYOh7X]JR^TJWLDKM:1]ONm4VFCGvlpbz&BX=95YG@Fqmscu'AYTmcj?01222>P@IMxbzh| HR]bja678989=l5YG@Fqmscu'AYTmcj?01216ZUP88<0ZJOKrhtfv*NTWhdo<=>?4435?SAFL{c}i!GS^cm`5678>9:m6XHAEpjr`t(@ZUjbi>?0150[VQ79:1]KLJ}iwgq+LT@J5:5=P@IMxbzh| ISEA81869:1]KLJ}iwgq+LT@J5>5==h5YG@Fqmscu'DkohRVPaef3456Xkp6;2;WEB@woqm{%FmijPX^cg`5678Vir0<0Pru3f?SAFL{c}i!Baef\\Zgcl9:;P@IMxbzh| M`fg[]Yflm:;<=Qly=0=[wr6m2\LMI|fvdp,IdbcWQUjhi>?01]`}959:81]KLJ}iwgq+HgclVRTmij?012\g|:46Vx=h5YG@Fqmscu'DkohRVPaef3456Xkp6?2e:TDEAtn~lx$Aljk_Y]b`a6789Uhu1911d9UCDBuaoy#@okd^Z\eab789:Tot27>0d8RBGCz`|n~"Cnde][[dbc89:;Sa{{<1<11>P@IMxbzh| M`fg[]Yflm:;<=Qcuu>3:ZOI^V::j6XHAEpjr`t(EhnoSUQnde2345Yg{6;2f:TDEAtn~lx$Aljk_Y]b`a6789Usc2=>0d8RBGCz`|n~"Cnde][[dbc89:;Sua}<2<2b>P@IMxbzh| M`fg[]Yflm:;<=Qwos>7:4`<^NKO~dxjr.Ob`aY_Whno<=>?_ymq8086n2\LMI|fvdp,IdbcWQUjhi>?01]{kw:168l0ZJOKrhtfv*KflmUSSljk0123[}iu4>4:j6XHAEpjr`t(EhnoSUQnde2345Yg{632e:TDEAtn~lx$Aljk_X]b`a6789Uhu1>11d9UCDBuaoy#@okd^[\eab789:Tot2>>338RBGCz`|n~"Cnde]Z[dbc89:;Snw31?]qp4c<^NKO~dxjr.Ob`aY^Whno<=>?_b{?6;463_MJHgyes-NeabXQVkoh=>?0^az878Xz};n7[INDskuaw)JimnTURokd1234Ze~4:49=6XHAEpjr`t(EhnoSTQnde2345Ydq595Sz>e:TDEAtn~lx$Aljk_X]b`a6789Uhu1:1209UCDBuaoy#@okd^[\eab789:Tot2;>^pw5`=QOHNye{k}/Lcg`Z_Ximn;<=>Pcx>6:77<^NKO~dxjr.Ob`aY^Whno<=>?_b{?1;Yu|8o0ZJOKrhtfv*KflmURSljk0123[f;>78:7[INDskuaw)JimnTURokd1234Ze~4?4T~y?j;WEB@woqm{%FmijPY^cg`5678Vir0:0=1:TDEAtn~lx$Aljk_X]b`a6789Uhu191_sv2a>P@IMxbzh| M`fg[\Yflm:;<=Qly=:=64=QOHNye{k}/Lcg`Z_Ximn;<=>Pcx>;:Zts9o1]KLJ}iwgq+HgclVSTmij?012\hpr;878>7[INDskuaw)JimnTURokd1234Zjr|5:5SD@Y_13e?SAFL{c}i!Baef\]Zgcl9:;Pxnp?5;7a3_MJHgyes-NeabXQVkoh=>?0^zlv9499o1]KLJ}iwgq+HgclVSTmij?012\|jt;;7;m7[INDskuaw)JimnTURokd1234Z~hz5>5=k5YG@Fqmscu'DkohRWPaef3456Xpfx793?i;WEB@woqm{%FmijPY^cg`5678Vrd~1811g9UCDBuaoy#@okd^[\eab789:Ttb|37?3e?SAFL{c}i!Baef\]Zgcl9:;Pxnp?=;7d3_MJHgyes-Ngjsi|Vbd~dtPcx>3:4e<^NKO~dxjr.O`kphsWaeyewQly=3=5c=QOHNye{k}/LalqkrX`fxbvRmv<0<\vq7d3_MJHgyes-Ngjsi|Vbd~dtPcx>1:4`<^NKO~dxjr.O`kphsWaeyewQly=0=[wr6k2\LMI|fvdp,Ifirf}Uccgu_b{?7;7a3_MJHgyes-Ngjsi|Vbd~dtPcx>0:Zts9j1]KLJ}iwgq+Heh}g~Tdb|fz^az8186n2\LMI|fvdp,Ifirf}Uccgu_b{?0;Yu|8i0ZJOKrhtfv*Kdg|dSea}i{]`}9399o1]KLJ}iwgq+Heh}g~Tdb|fz^az808Xz};h7[INDskuaw)JkfexRf`rhx\g|:168l0ZJOKrhtfv*Kdg|dSea}i{]`}909W{~:o6XHAEpjr`t(Eje~byQgosky[f;?7;m7[INDskuaw)JkfexRf`rhx\g|:06Vx=n5YG@Fqmscu'DidyczPhnpj~Ze~414:j6XHAEpjr`t(Eje~byQgosky[f;07UyxP@IMxbzh| MbmvjqYog{cqSua}<1<2`>P@IMxbzh| MbmvjqYog{cqSua}<0<2`>P@IMxbzh| MbmvjqYog{cqSua}<3<2`>P@IMxbzh| MbmvjqYog{cqSua}<2<2`>P@IMxbzh| MbmvjqYog{cqSua}<5<2`>P@IMxbzh| MbmvjqYog{cqSua}<4<2`>P@IMxbzh| MbmvjqYog{cqSua}<7<2`>P@IMxbzh| MbmvjqYog{cqSua}<6<2`>P@IMxbzh| MbmvjqYog{cqSua}<9<2`>P@IMxbzh| MbmvjqYog{cqSua}<8<14>P@IMxbzh| MbmvjqYog{cqSua}<8<\vq7e3_MJHgyes-Ngjsi|V|n~yQly=2=5g=QOHNye{k}/LalqkrX~lxSnw31?3f?SAFL{c}i!BcnwmpZpbz}Uhu1?1_sv2f>P@IMxbzh| MbmvjqYqm{~Tot2=>0g8RBGCz`|n~"Clotlw[scu|Vir0?0Pru3a?SAFL{c}i!BcnwmpZpbz}Uhu1=11d9UCDBuaoy#@m`uov\r`tsWjs7?3Q}t0`8RBGCz`|n~"Clotlw[scu|Vir090>e:TDEAtn~lx$Anaznu]uawrXkp6?2R|{1c9UCDBuaoy#@m`uov\r`tsWjs793?j;WEB@woqm{%Fob{at^tfvqYdq5?5Sz>b:TDEAtn~lx$Anaznu]uawrXkp6=26XHAEpjr`t(Eje~byQyesv\hpr;87UBB[Q?1b9UCDBuaoy#@m`uov\r`tsWqey0=0>c:TDEAtn~lx$Anaznu]uawrXpfx7=3?l;WEB@woqm{%Fob{at^tfvqYg{692::Zts:h1]KLJ}iwgq+HurjVRTmij|uc2345Yg{6?295YG@Fqmscu'Dy~nRVPaefpqg6789Usc29>0310>P@IMxbzh| Mrwa[]Yflmy~n=>?0^zlv919988?7[INDskuaw)J{|hTTRokdrwa4567Wqey050>1378RBGCz`|n~"C|uc][[dbc{|h;<=>Pxnp?=;769;>0ZJOKrhtfv*Kt}kUSSljkst`3456Xpfx753?=209UCDBuaoy#@}zb^[\eabt}k:;<=Qly=2=61=QOHNye{k}/LqvfZ_Ximnxyo>?01]`}969W{~9=6XHAEpjr`t(EziSTQndeqvf5678Vir0<0=4:TDEAtn~lx$A~{m_X]b`aurj9:;3Q}t338RBGCz`|n~"C|uc]Z[dbc{|h;<=>Pcx>0:77<^NKO~dxjr.OpqgY^Whnoxl?012\g|:36;;0ZJOKrhtfv*Kt}kURSljkst`3456Xkp6>2?:4VFCGvlpbz&GxyoQV_`fgwpd789:Tot2:>^pw64=QOHNye{k}/LqvfZ_Ximnxyo>?01]`}909:81]KLJ}iwgq+HurjVSTmij|uc2345Ydq5=5><5YG@Fqmscu'Dy~nRWPaefpqg6789Uhu161239UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>0:7><^NKO~dxjr.OpqgY^Whnoxl?012\hpr;;7UBB[Q?239UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>7:7><^NKO~dxjr.OpqgY^Whnoxl?012\hpr;<7UBB[Q?239UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>5:7><^NKO~dxjr.OpqgY^Whnoxl?012\hpr;>7UBB[Q?239UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>4:7><^NKO~dxjr.OpqgY^Whnoxl?012\hpr;?7UBB[Q?239UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>;:7><^NKO~dxjr.OpqgY^Whnoxl?012\hpr;07UBB[Q?239UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>::7><^NKO~dxjr.OpqgY^Whnoxl?012\hpr;17UBB[Q?239UCDBuaoy#@}zb^[\eabt}k:;<=Qwos>3:74<^NKO~dxjr.OpqgY^Whnoxl?012\|jt;97897[INDskuaw)J{|hTURokdrwa4567Wqey0?0=2:TDEAtn~lx$A~{m_X]b`aurj9:;?01]{kw:36;80ZJOKrhtfv*Kt}kURSljkst`3456Xpfx793<=;WEB@woqm{%FxlPY^cg`vse89:;Sua}<7<16>P@IMxbzh| Mrwa[\Yflmy~n=>?0^zlv919:;1]KLJ}iwgq+HurjVSTmij|uc2345Yg{632?<4VFCGvlpbz&GxyoQV_`fgwpd789:Ttb|39?a8RBGCz`|n~"@=c:TDEAtn~lx$B:m4VFCGvlpbz&D2==5YG@Fqmscu'[MI090>0:TDEAtn~lx$^JL37?d8RBGCz`|n~"\HB^6e?SAFL{c}i!]GC]456=QOHNye{k}/Sgpqir;87;?7[INDskuaw)Umzgx1>11018RBGCz`|n~"\jstnw8486<2\LMI|fvdp,V`urd}6:2068RBGCz`|n~"\jstnw86869:1]KLJ}iwgq+Wct}e~783?;;WEB@woqm{%Yi~{ct=6=545<^NKO~dxjr.Pfwpjs4<4:86XHAEpjr`t(Zly~`y2:>030?SAFL{c}i!]erwop9099=1]KLJ}iwgq+Wct}e~7:3?>3:TDEAtn~lx$^h}zlu>4:42<^NKO~dxjr.Pfwpjs4>4:=>5YG@Fqmscu'[oxyaz38?37?SAFL{c}i!]erwop9>99890ZJOKrhtfv*Tb{|f040>4:TDEAtn~lx$^h}zlu>::4e<^NKO~dxjr.TP51=QOHNye{k}/WQ\ekb789::46XHAEpjr`t(^ZUjbi>?01]PS5d<^NKO~dxjr.U20>P@IMxbzh| W^llp56798o0ZJOKrhtfv*^;87o0ZJOKrhtfv*^;97o0ZJOKrhtfv*^;:7o0ZJOKrhtfv*^;;7o0ZJOKrhtfv*^;<7o0ZJOKrhtfv*^;=7o0ZJOKrhtfv*^;>7o0ZJOKrhtfv*^;?7o0ZJOKrhtfv*^;07o0ZJOKrhtfv*^;17n0ZJOKrhtfv*^X8m1]KLJ}iwgq+]Y6l2\LMI|fvdp,\Z4c3_MJHgyes-[[6b<^NKO~dxjr.Z\0a=QOHNye{k}/Y]6`>P@IMxbzh| X^4g?SAFL{c}i!W_6f8RBGCz`|n~"VP8e9UCDBuaoy#UQ6179UCDBuaoy#UQnde2345:768<0ZJOKrhtfv*^Ximn;<=>31?35?SAFL{c}i!W_`fg45674;4::6XHAEpjr`t(PVkoh=>?0=1=53=QOHNye{k}/Y]b`a67896?2<84VFCGvlpbz&RTmij?012?1;713_MJHgyes-[[dbc89:;0;0>6:TDEAtn~lx$TRokd12349199?1]KLJ}iwgq+]Yflm:;<=27>048RBGCz`|n~"VPaef3456;17;27[INDskuaw)_Whnoxl?012?0;7>3_MJHgyes-[[dbc{|h;<=>35?3:?SAFL{c}i!W_`fgwpd789:7:3?6;WEB@woqm{%SSljkst`3456;?7;27[INDskuaw)_Whnoxl?012?<;7>3_MJHgyes-[[dbc{|h;<=>39?35?SAFL{c}i!W_`lg456798;::6XHAEpjr`t(PVkeh=>?003653=QOHNye{k}/Y]bja6789;8:<84VFCGvlpbz&RTmcj?0122147?3_MJHgyes-[[dhc89:;=8?P10:8RBGCz`|n~"VPaof34566=8U9=85YG@Fqmscu'QUjbi>?01145<=QOHNye{k}/Y]bja67899WZ];=85YG@Fqmscu'QUjbi>?01465<=QOHNye{k}/Y]bja6789<>S^Y?149UCDBuaoy#UQnne2345?4901]KLJ}iwgq+]Yffm:;<=77_RU3a>P@IMxbzh| Y=2=a>P@IMxbzh| Y=3=a>P@IMxbzh| Y=0=a>P@IMxbzh| Y=1=a>P@IMxbzh| Y=6=a>P@IMxbzh| Y=7=a>P@IMxbzh| Y=4=a>P@IMxbzh| Y=5=a>P@IMxbzh| Y=:=a>P@IMxbzh| Y=;=`>P@IMxbzh| Y^2g?SAFL{c}i!V_0f8RBGCz`|n~"WP2e9UCDBuaoy#TQ?<1<22>P@IMxbzh| Y^cg`56785;5=;5YG@Fqmscu'PUjhi>?01>1:40<^NKO~dxjr.[\eab789:7?3?9;WEB@woqm{%RSljk01238186>2\LMI|fvdp,]Zgcl9:;<1;1179UCDBuaoy#TQnde2345:168<0ZJOKrhtfv*_Ximn;<=>37?35?SAFL{c}i!V_`fg4567414::6XHAEpjr`t(QVkoh=>?0=;=5<=QOHNye{k}/X]b`aurj9:;<1>1189UCDBuaoy#TQndeqvf56785;5=45YG@Fqmscu'PUjhi}zb1234949901]KLJ}iwgq+\Yflmy~n=>?0=1=5<=QOHNye{k}/X]b`aurj9:;<1:1189UCDBuaoy#TQndeqvf56785?5=45YG@Fqmscu'PUjhi}zb1234909901]KLJ}iwgq+\Yflmy~n=>?0=5=5<=QOHNye{k}/X]b`aurj9:;<161189UCDBuaoy#TQndeqvf5678535=?5YG@Fqmscu'aeyew2?>008RBGCz`|n~"f`rhx?5;753_MJHgyes-kkwo}4;4:>6XHAEpjr`t(`fxbv1=1139UCDBuaoy#ea}i{>7:44<^NKO~dxjr.jlvl|;=7;97[INDskuaw)og{cq0;0>2:TDEAtn~lx$db|fz=5=57=QOHNye{k}/imqm:?6880ZJOKrhtfv*nhz`p753?>;WEB@woqm{%ccgu_132?SAFL{c}i!gosky[4763_MJHgyes-kkwo}W;;:7[INDskuaw)og{cqS>?>;WEB@woqm{%ccgu_532?SAFL{c}i!gosky[0763_MJHgyes-kkwo}W?;:7[INDskuaw)og{cqS:?>;WEB@woqm{%ccgu_932?SAFL{c}i!gosky[<763_MJHgyes-uawr;87;:7[INDskuaw)qm{~7=3?>;WEB@woqm{%}iz32?32?SAFL{c}i!yesv?7;763_MJHgyes-uawr;<7;:7[INDskuaw)qm{~793?>;WEB@woqm{%}iz36?32?SAFL{c}i!yesv?3;763_MJHgyes-uawr;07;:7[INDskuaw)qm{~753??;WEB@woqm{%}izP0028RBGCz`|n~"xjru]255=QOHNye{k}/wgqpZ4682\LMI|fvdp,r`tsW:;;7[INDskuaw)qm{~T8<>4VFCGvlpbz&|n~yQ:119UCDBuaoy#{k}t^424>P@IMxbzh| vdpw[2773_MJHgyes-uawrX08:0ZJOKrhtfv*pbz}U2=45YG@Fqmscu'oyxRmbp^gr45679h1]KLJ}iwgq+scu|Vif|Rk~012354c<^NKO~dxjr.tfvqYdeyUn}=>?00]PS57c3_MJHgyes-uawrXkdzTi|>?01]PS57>3_MJHgyes-uawrXkdzTi|>?003a?SAFL{c}i!yesv\ghvXmx:;<1c9UCDBuaoy#{k}t^antZcv89::>??m;WEB@woqm{%}izPclr\at67889=56XFEV]W]UC53_Y<7[]POCWE5a=_AECET VKB!2-5%US]K*;"<.NSBKJ1>^HZJS=7U][LH@4?]USWNDO;6V\T^T@G3=_lkUBh<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl0?]us02ce~jl34?:8mkt`j5=5;6garf`\02=nf{miS:j4iopdfZgil9:;<<>4iopdfZgil9:;<<<;119jjwaeWhdo<=>?155e?lhuokUjbi>?013655=nf{miSl`k0123534692ce~jlPaof34566>;;:?6garf`\ekb789:::?Qi5028mkt`jVkeh=>?032254=nf{miSl`k012365769:1bbim_`lg4567:9;Tj8??;hlqcgYffm:;<=<>9g9jjwaeWhdo<=>?34d8mkt`jVkeh=>?047e?lhuokUjbi>?0146b>oiznhTmcj?0124546454:0kn688=9zl2a0<63g;n:784$0g7>4ba3tY9>7?j7;4e>454:0kn688:5:f2ba<7280:w^<<:0g4>3`=9:995lk5570:?sR??3:1=7?5113xW75=9l=1:k4>320:e`<2>;30(4`c3S;j6?u>4;30>xo583:17b=7:188k4bc2900c:j50;&46?1d3g=:6=54o6`94?"0:3=h7c9>:098k2g=83.<>79l;o52>7=31<7*82;5`?k162:10c:650;&46?1d3g=:6954o6594?"0:3=h7c9>:498k20=83.<>79l;o52>3=?1<7*82;5`?k162>10c9o50;&46?2>3g=:6=54o5:94?"0:3>27c9>:098k11=83.<>7:6;o52>7=3g=:6954o5694?"0:3>27c9>:498k15=83.<>7:6;o52>3=10n5<7s-236>94H0g2?M>>3f9=6=44}c3f7?6=93:14?:1y'<=<392B:i<5G889'7c<73`8?6=44i2494?=h?:0;66sm1d`94?5=83:p(565409K5`7<@130(>h50:k10?6=3`9=6=44o6194?=zjji1<7=50;2x =>=9l:0D;I::?l7a2900e8=50;9l2f<722wioo4?:283>5}#010:i=5G1d38L=?>i1k3:17plmf;290?6=8r.347;j;I3f5>N?12c:j7>5;h06>5<>{ekh0;6>4?:1y'<=<6m91C=h?4H9;8m4`=831b9>4?::m5g?6=3thih7>54;294~"?03?n7E?j1:J;=>o6n3:17d<::188m=0=831d:n4?::ag<<72:0;6=u+8982a5=O9l;0D574i0d94?=n=:0;66a9c;29?xdej3:187>50z&;6=44i9494?=h>j0;66smc983>6<729q/454>e19K5`7<@130e5;|`a=?6=<3:1f;29?l422900e5850;9l2f<722wio:4?:283>5}#010:i=5G1d38L=?>i1k3:17plm7;290?6=8r.347;j;I3f5>N?12c:j7>5;h06>5<>{ek?0;6>4?:1y'<=<6m91C=h?4H9;8m4`=831b9>4?::m5g?6=3thi97>54;294~"?03?n7E?j1:J;=>o6n3:17d<::188m=0=831d:n4?::ag0<72:0;6=u+8982a5=O9l;0D574i0d94?=n=:0;66a9c;29?xde;3:187>50z&;6=44i9494?=h>j0;66smc583>6<729q/454>e19K5`7<@130e5;|`a5?6=<3:1f;29?l422900e5850;9l2f<722wio>4?:283>5}#010:i=5G1d38L=?>i1k3:17plm0;290?6=8r.347;j;I3f5>N?12c:j7>5;h06>5<>{eio0;6>4?:1y'<=<6m91C=h?4H9;8m4`=831b9>4?::m5g?6=3thji7>53;294~"?03;n<6F>e09K<<=n9o0;66g:3;29?j0d2900qoo<:187>5<7s-2368k4H0g2?M>>3`;m6=44i3794?=n0?0;66a9c;29?xdfl3:1?7>50z&;?7>5;n4`>5<?2;I::?l7a2900e?;50;9j<3<722e=o7>5;|`bg?6=;3:1N6m81C445f1g83>>o2;3:17b8l:188ygg6290?6=4?{%:;>0c<@8o:7E66;h3e>5<>i1k3:17plnb;297?6=8r.347?j0:J2a4=O001b=k4?::k67?6=3f5<3290;w)67:4g8L4c63A227d?i:188m73=831b4;4?::m5g?6=3thjm7>53;294~"?03;n<6F>e09K<<=n9o0;66g:3;29?j0d2900qo7i:187>5<7s-2368k4H0g2?M>>3`;m6=44i3794?=n0?0;66a9c;29?xdf13:1?7>50z&;?7>5;n4`>5<?2;I::?l7a2900e?;50;9j<3<722e=o7>5;|`bN6m81C445f1g83>>o2;3:17b8l:188yg?e290?6=4?{%:;>0c<@8o:7E66;h3e>5<>i1k3:17pln7;297?6=8r.347?j0:J2a4=O001b=k4?::k67?6=3f5<3290;w)67:4g8L4c63A227d?i:188m73=831b4;4?::m5g?6=3thj:7>53;294~"?03;n<6F>e09K<<=n9o0;66g:3;29?j0d2900qo77:187>5<7s-2368k4H0g2?M>>3`;m6=44i3794?=n0?0;66a9c;29?xd68>0;6>4?:1y'<=<6m91C=h?4H9;8m4`=831b9>4?::m5g?6=3th:<;4?:283>5}#010:i=5G1d38L=?>i1k3:17plia;290?6=8r.347;j;I3f5>N?12c:j7>5;h06>5<>{e99?1<7=50;2x =>=9l:0D;I::?l7a2900e8=50;9l2f<722wij54?:583>5}#010>i6F>e09K<<=n9o0;66g=5;29?l>12900c;m50;9~f46329086=4?{%:;>4c73A;n=6F79:k2b?6=3`?86=44o7a94?=zjo<1<7:50;2x =>==l1C=h?4H9;8m4`=831b>84?::k;2?6=3fN6m81C445f1g83>>o2;3:17b8l:188yg`3290?6=4?{%:;>0c<@8o:7E66;h3e>5<>i1k3:17pl>0383>6<729q/454>e19K5`7<@130e5;|`e6?6=<3:1f;29?l422900e5850;9l2f<722wi==?50;194?6|,121=h>4H0g2?M>>3`;m6=44i4194?=h>j0;66smf183>1<729q/454:e:J2a4=O001b=k4?::k11?6=3`2=6=44o7a94?=zj8:;6=4<:183!>?28o;7E?j1:J;=>o6n3:17d;<:188k3e=831vnhk50;694?6|,1219h5G1d38L=?>o?>3:17b8l:188yg`a29086=4?{%:;>4c73A;n=6F79:k2b?6=3`?86=44o7a94?=zjli1<7:50;2x =>==l1C=h?4H9;8m4`=831b>84?::k;2?6=3f5<4290;w)67:0g3?M7b92B356g>f;29?l342900c;m50;9~f`d=83>1<7>t$9:91`=O9l;0D574i0d94?=n:<0;66g76;29?j0d2900qok6:180>5<7s-236N?12c:j7>5;h70>5<N6m81C445f1g83>>o5=3:17d69:188k3e=831vnh950;194?6|,121=h>4H0g2?M>>3`;m6=44i4194?=h>j0;66smd`83>1<729q/454:e:J2a4=O001b=k4?::k11?6=3`2=6=44o7a94?=zjl<1<7=50;2x =>=9l:0D;I::?l7a2900e8=50;9l2f<722wih54?:583>5}#010>i6F>e09K<<=n9o0;66g=5;29?l>12900c;m50;9~f`3=8391<7>t$9:95`6<@8o:7E66;h3e>5<>{el?0;694?:1y'<=<2m2B:i<5G889j5c<722c997>5;h:5>5<N6m81C445f1g83>>o5=3:17d69:188k3e=831vnh=50;194?6|,121=h>4H0g2?M>>3`;m6=44i4194?=h>j0;66smd383>1<729q/454:e:J2a4=O001b=k4?::k11?6=3`2=6=44o7a94?=zjl81<7=50;2x =>=9l:0D;I::?l7a2900e8=50;9l2f<722wih=4?:583>5}#010>i6F>e09K<<=n9o0;66g=5;29?l>12900c;m50;9~f`7=8391<7>t$9:95`6<@8o:7E66;h3e>5<>{ekl0;694?:1y'<=<2m2B:i<5G889j5c<722c997>5;h:5>5<N6m81C445f1g83>>o5=3:17d69:188k3e=831vn<==:180>5<7s-236N?12c:j7>5;h70>5<5<4290;w)67:0g3?M7b92B356g>f;29?l342900c;m50;9~f47d290?6=4?{%:;>0c<@8o:7E66;h3e>5<>i1k3:17pl>3183>6<729q/454>e19K5`7<@130e5;|`25g<72=0;6=u+8986a>N6m81C445f1g83>>o5=3:17d69:188k3e=831vn<5<7s-236N?12c:j7>5;h70>5<5<3290;w)67:4g8L4c63A227d?i:188m73=831b4;4?::m5g?6=3th:>h4?:283>5}#010:i=5G1d38L=?>i1k3:17pl>1983>1<729q/454:e:J2a4=O001b=k4?::k11?6=3`2=6=44o7a94?=zj88o6=4<:183!>?28o;7E?j1:J;=>o6n3:17d;<:188k3e=831vn5<7s-2368k4H0g2?M>>3`;m6=44i3794?=n0?0;66a9c;29?xd6:j0;6>4?:1y'<=<6m91C=h?4H9;8m4`=831b9>4?::m5g?6=3th:=;4?:583>5}#010>i6F>e09K<<=n9o0;66g=5;29?l>12900c;m50;9~f44e29086=4?{%:;>4c73A;n=6F79:k2b?6=3`?86=44o7a94?=zj8;?6=4;:183!>?2;I::?l7a2900e?;50;9j<3<722e=o7>5;|`26d<72:0;6=u+8982a5=O9l;0D574i0d94?=n=:0;66a9c;29?xd69;0;694?:1y'<=<2m2B:i<5G889j5c<722c997>5;h:5>5<5<4290;w)67:0g3?M7b92B356g>f;29?l342900c;m50;9~f477290?6=4?{%:;>0c<@8o:7E66;h3e>5<>i1k3:17pl>bg83>1<729q/454=f:J2a4=O001b=o4?::k10?6=3`=?6=44o6194?=zj8hn6=4;:183!>?2;l0D;I::?l7e2900e?:50;9j31<722e5;|`2fa<72=0;6=u+8981b>N6m81C445f1c83>>o5<3:17d9;:188k25=831vn5<7s-236?h4H0g2?M>>3`;i6=44i3694?=n?=0;66a83;29?xd6jk0;694?:1y'<=<5n2B:i<5G889j5g<722c987>5;h57>5<91<75rb0`b>5<3290;w)67:3d8L4c63A227d?m:188m72=831b;94?::m47?6=3th:n44?:583>5}#0109j6F>e09K<<=n9k0;66g=4;29?l132900c:=50;9~f4d?290?6=4?{%:;>7`<@8o:7E66;h3a>5<1<75f7583>>i0;3:17pl>b683>1<729q/454=f:J2a4=O001b=o4?::k10?6=3`=?6=44o6194?=zj8h=6=4;:183!>?2;l0D;I::?l7e2900e?:50;9j31<722e5;|`2`6<72<0;6=u+89804>N6m81C445f1c83>>o6m3:17d<;:188m22=831d;>4?::a5a4=83?1<7>t$9:975=O9l;0D574i0`94?=n9l0;66g=4;29?l132900c:=50;9~f4b6290>6=4?{%:;>66<@8o:7E66;h3a>5<>o0<3:17b9<:188yg7c83:197>50z&;5;h07>5<>1<75`7283>>{e9jo1<7;50;2x =>=;91C=h?4H9;8m4d=831b=h4?::k10?6=3`=?6=44o6194?=zj8io6=4::183!>?2::0D;I::?l7e2900e5;n50>5<55;294~"?039;7E?j1:J;=>o6j3:17d?j:188m72=831b;94?::m47?6=3th:oo4?:483>5}#0108<6F>e09K<<=n9k0;66g>e;29?l432900e::50;9l36<722wi=no50;794?6|,121?=5G1d38L=?>o5<3:17d9;:188k25=831vn<78:187>5<7s-236?k4H0g2?M>>3`;i6=44i0g94?=n:=0;66a83;29?xd61?0;694?:1y'<=<5m2B:i<5G889j5g<722c:i7>5;h07>5<91<75rb0;6>5<3290;w)67:3g8L4c63A227d?m:188m4c=831b>94?::m47?6=3th:594?:583>5}#0109i6F>e09K<<=n9k0;66g>e;29?l432900c:=50;9~f4?4290?6=4?{%:;>7c<@8o:7E66;h3a>5<>i0;3:17pl>9383>1<729q/454=e:J2a4=O001b=o4?::k2a?6=3`8?6=44o6194?=zj83:6=4;:183!>?2;o0D;I::?l7e2900e5;|`2=5<72=0;6=u+8981a>N6m81C445f1c83>>o6m3:17d<;:188k25=831vn<6i:187>5<7s-236?k4H0g2?M>>3`;i6=44i0g94?=n:=0;66a83;29?xd60l0;694?:1y'<=<5m2B:i<5G889j5g<722c:i7>5;h07>5<91<75rb045>5<3290;w)67:3g8L4c63A227d?m:188m4c=831b>94?::m47?6=3th::84?:583>5}#0109i6F>e09K<<=n9k0;66g>e;29?l432900c:=50;9~f403290?6=4?{%:;>7c<@8o:7E66;h3a>5<>i0;3:17pl>6283>1<729q/454=e:J2a4=O001b=o4?::k2a?6=3`8?6=44o6194?=zj8<96=4;:183!>?2;o0D;I::?l7e2900e5;|`224<72=0;6=u+8981a>N6m81C445f1c83>>o6m3:17d<;:188k25=831vn<8?:187>5<7s-236?k4H0g2?M>>3`;i6=44i0g94?=n:=0;66a83;29?xd6=o0;694?:1y'<=<5m2B:i<5G889j5g<722c:i7>5;h07>5<91<75rb07f>5<3290;w)67:3g8L4c63A227d?m:188m4c=831b>94?::m47?6=3th:9i4?:583>5}#0109i6F>e09K<<=n9k0;66g>e;29?l432900c:=50;9~f42b290?6=4?{%:;>7`<@8o:7E66;h3a>5<1<75f7583>>i0;3:17pl>3983>6<729q/454=d:J2a4=O001b=o4?::k10?6=3f=86=44}c:a>5<3290;w)67:3d8L4c63A227d?m:188m72=831b;94?::m47?6=3th:9<4?:583>5}#0109j6F>e09K<<=n9k0;66g=4;29?l132900c:=50;9~f4ca290>6=4?{%:;>0d<@8o:7E66;%1e>d=n;k0;66gk50;9l2f<722wi=k950;794?6|,1219o5G1d38L=?<,:l1=6gj50;9j7`<722e=o7>5;|`2b=<72<0;6=u+8986f>N6m81C445+3g801>o4j3:17d=l:188m6b=831b?h4?::m5g?6=3th:j44?:483>5}#010>n6F>e09K<<=#;o0o7d=m:188m6e=831b?i4?::k0a?6=3fm50;9j7a<722c8i7>5;n4`>5<55;294~"?03?i7E?j1:J;=>"4n3k0e>l50;9j7f<722c8h7>5;h1f>5<5<2290;w)67:4`8L4c63A227)=i:99j7g<722c8o7>5;h1g>5<>{e9o<1<7:50;2x =>==01C=h?4H9;8 6`=?2c8n7>5;h1`>5<>{e99h1<7:50;2x =>==01C=h?4H9;8 6`=9>1b?o4?::k0g?6=3`9o6=44o7a94?=zj8:m6=4::183!>?2;I::?!5a28n0e>l50;9j7f<722c8h7>5;h1f>5<5<4290;w)67:458L4c63A227)=i:078m6d=831b?n4?::m5g?6=3th:h94?:483>5}#010>n6F>e09K<<=#;o0>7d=m:188m6e=831b?i4?::k0a?6=3f?2;I::?!5a2;1b?o4?::k0g?6=3`9o6=44i2g94?=h>j0;66sm11f94?2=83:p(565589K5`7<@130(>h5179j7g<722c8o7>5;h1g>5<h5f:k0f?6=3`9h6=44i2f94?=n;l0;66a9c;29?xd6l<0;684?:1y'<=<2j2B:i<5G889'7c<63`9i6=44i2a94?=n;m0;66gN?12.8j7==;h1a>5<>i1k3:17pl>7983>0<729q/454:b:J2a4=O001/?k4m;h1a>5<>o4m3:17b8l:188yg5>29096=4?{%:;>3b<@8o:7E66;h1b>5<5<5290;w)67:7f8L4c63A227d=n:188k3e=831vn5;50;094?6|,121:i5G1d38L=?>{e0=0;6?4?:1y'<=<1l2B:i<5G889j7d<722e=o7>5;|`;7?6=:3:15<7s-236;j4H0g2?M>>3`9j6=44o7a94?=zj1;1<7<50;2x =>=>m1C=h?4H9;8m6g=831d:n4?::a<5<72;0;6=u+8985`>N6m81C445f3`83>>i1k3:17pl8f;296?6=8r.3478k;I3f5>N?12c8m7>5;n4`>5<?2?n0D;I::?l5f2900c;m50;9~f04=8381<7>t$9:92a=O9l;0D574i2c94?=h>j0;66sm5083>7<729q/4549d:J2a4=O001b?l4?::m5g?6=3th><7>52;294~"?03o4i3:17b8l:188yg2a29096=4?{%:;>3b<@8o:7E66;h1b>5<o50;9l2f<722wi8i4?:383>5}#010=h6F>e09K<<=n;h0;66a9c;29?xd3k3:1>7>50z&;5<5290;w)67:7f8L4c63A227d=n:188k3e=831vn<=6:187>5<7s-236>?4H0g2?M>>3`;i6=44i3694?=n0?0;66a83;29?xdd83:1>7>50z&;>{ejl0;6?4?:1y'<=<2>2B:i<5G889'7c==?1C=h?4H9;8 6`=k2c8n7>5;n4`>5<?2<<0D;I::?!5a2j1b?o4?::m5g?6=3thi47>52;294~"?03?=7E?j1:J;=>"4n3i0e>l50;9l2f<722win;4?:383>5}#010>:6F>e09K<<=#;o0h7d=m:188k3e=831vno:50;094?6|,1219;5G1d38L=?<,:l1o6g5<7s-236884H0g2?M>>3-9m6n5f3c83>>i1k3:17pl6e;296?6=8r.347;9;I3f5>N?12.8j7m4i2`94?=h>j0;66sm9b83>7<729q/454:6:J2a4=O001/?k4l;h1a>5<h5c:k0f?6=3f5<5290;w)67:448L4c63A227)=i:b9j7g<722e=o7>5;|`e=?6=:3:1N6m81C445+3g8`?l5e2900c;m50;9~fc3=8381<7>t$9:913=O9l;0D574$2d9g>o4j3:17b8l:188yg`429096=4?{%:;>00<@8o:7E66;%1e>f=n;k0;66a9c;29?xda93:1>7>50z&;>{emo0;6?4?:1y'<=<2>2B:i<5G889'7c==?1C=h?4H9;8 6`=k2c8n7>5;n4`>5<?2<<0D;I::?!5a2j1b?o4?::m5g?6=3thon7>52;294~"?03?=7E?j1:J;=>"4n3i0e>l50;9l2f<722wih44?:383>5}#010>:6F>e09K<<=#;o0h7d=m:188k3e=831vni950;094?6|,1219;5G1d38L=?<,:l1o6g5<7s-236884H0g2?M>>3-9m6n5f3c83>>i1k3:17plk3;296?6=8r.347;9;I3f5>N?12.8j7m4i2`94?=h>j0;66smd083>7<729q/454:6:J2a4=O001/?k4l;h1a>5<h5c:k0f?6=3ft$9:913=O9l;0D574$2d9g>o4j3:17b8l:188yg76;3:1>7>50z&;>{e98;1<7<50;2x =>==?1C=h?4H9;8 6`=k2c8n7>5;n4`>5<52;294~"?03?=7E?j1:J;=>"4n3i0e>l50;9l2f<722wiil4?:383>5}#010>:6F>e09K<<=#;o0h7d=m:188k3e=831vn<;=:186>5<7s-2368l4H0g2?M>>3-9m6h5f3c83>>o4k3:17d=k:188m6c=831d:n4?::a52`=83?1<7>t$9:91g=O9l;0D574$2d96==n;k0;66gk50;9l2f<722wi=5=50;794?6|,1219o5G1d38L=?<,:l1=6gj50;9j7`<722e=o7>5;|`:5?6==3:1==k1C=h?4H9;8 6`=92c8n7>5;h1`>5<>i1k3:17pl>0b83>0<729q/454:b:J2a4=O001/?k4>0:k0f?6=3`9h6=44i2f94?=n;l0;66a9c;29?xd6?m0;684?:1y'<=<2j2B:i<5G889'7c<692c8n7>5;h1`>5<>i1k3:17pl>8183>0<729q/454:b:J2a4=O001/?k4=9:k0f?6=3`9h6=44i2f94?=n;l0;66a9c;29?xd?m3:187>50z&;3A;n=6F79:&0b?4d3`9i6=44i2a94?=n;m0;66a9c;29?xd6lk0;684?:1y'<=<2j2B:i<5G889'7c<33`9i6=44i2a94?=n;m0;66gN?12.8j75<>i1k3:17pl>7c83>0<729q/454:b:J2a4=O001/?k4=7:k0f?6=3`9h6=44i2f94?=n;l0;66a9c;29?xd6?j0;684?:1y'<=<2j2B:i<5G889'7c<5?2c8n7>5;h1`>5<>i1k3:17pl=1;296?6=8r.347?6;I3f5>N?12c8m7>5;n4`>5<?2=:0D;I::?l5f2900c;m50;9~fd3=8381<7>t$9:905=O9l;0D574i2c94?=h>j0;66smfe83>7<729q/454;0:J2a4=O001b?l4?::m5g?6=3thoj7>52;294~"?03>;7E?j1:J;=>o4i3:17b8l:188yg7503:1>7>50z&;N?12c8m7>5;n4`>5<7>52;294~"?03>;7E?j1:J;=>o4i3:17b8l:188yg7583:1>7>50z&;N?12c8m7>5;n4`>5<55;294~"?03?i7E?j1:J;=>"4n38j7d=m:188m6e=831b?i4?::k0a?6=3fj50;9j7`<722e=o7>5;|`2b0<72=0;6=u+8986b>N6m81C445f3c83>>o4k3:17d69:188k3e=831vn4;50;794?6|,1219o5G1d38L=?<,:l1=n5f3c83>>o4k3:17d=k:188m6c=831d:n4?::a=3<72<0;6=u+8986f>N6m81C445+3g826>o4j3:17d=l:188m6b=831b?h4?::m5g?6=3th2;7>54;294~"?03?m7E?j1:J;=>o4j3:17d=l:188m=0=831d:n4?::a5a1=83>1<7>t$9:91d=O9l;0D574$2d96g=n;k0;66g0g<@8o:7E66;%1e>64>o4l3:17b;;:188yg7ci3:197>50z&;>o4l3:17d=j:188k02=831vn5<7s-236864H0g2?M>>3-9m6?84i2`94?=n;j0;66a:4;29?xd6?>0;694?:1y'<=<2i2B:i<5G889'7c<4:2c8n7>5;h1`>5<>{e9>31<7850;2x =>==j1C=h?4H9;8 6`=911b?o4?::k0g?6=3`9o6=44i2g94?=h==0;66a9c;29?xd6?l0;684?:1y'<=<2l2B:i<5G889'7c<4<2c8n7>5;h1`>5<>i2<3:17pl>8083>0<729q/454:d:J2a4=O001/?k4<3:k0f?6=3`9h6=44i2f94?=n;l0;66a:4;29?xd?l3:197>50z&;>o4l3:17d=j:188k02=831v?>50;0xZ76<5;;1?l5rs3394?4bs4;>=7?m;<:a>4d<589364d<58?o64d<58?m64d<58<:64d<58<864d<58<>64d<582n64d<583;64d<583964d<583?64d<583=64d<58ij64d<58ih64d<58in64d<58n;64d<58n964d<58h=64d<58h364d<58hj64d<58hh64d<58hn64d<58926j1v9<50;0xZ14<5=h1:n5rs5194?4|V=9019m56b9~w12=838pR9:4=5f92f=z{=?1<7j1v9850;0xZ10<5=l1:n5rs5594?4|V==018>56b9~w1>=838pR964=4392f=z{=k1<7j1v;>50;1487b:39=70?>0;06?876:38>70?>4;06?876038>70mk:0d89`6=9o16oh4=5:?g4?4234n96?;4=e6960=:l?09963k8;06?8bf2;?01im5249>ag<6n27mi7?i;73<5lo1>852f1811>;a:38>70h;:3789c0=:<16j54=5:?ee?4234336e5<5=27j=7<:;73<5h91>852b182b>;d;3;m70l>:3789g5=:<16n84=5:?a3?4234h26?;4=c`960=:jm09963mf;06?8352:k018?53`9>15<4i27?j7=n;<6f>6g<5=n1?l524b80e>;3j39j70?i2;1`?87a=39h7p}91;296~;6l=08h63>d6860>{t>;0;6ku21d192`=:9<;1>9528c810>;61182b>;6:00:j63>17811>;69>09963>1`811>;69k09963>1b811>;dl38>70km:3789<>=:<16n=4=5:p26<72;q65<49c:?:4?5b3ty=87>52z?23a<4m27:;h4:4:p20<72;q6=5>53d9>5=7===1v;850;0x9=c=>j164i452d=;l1v;650;0x942a2:n01<;?:468yv0>2909w0?8f;4`?87?839o7p}9a;296~;60:0=o63>7880g>{t?<0;6?uQ749>3`<1k2wx;;4?:3y]33=:?o0=o6s|7683>7}Y?>164=49c:p3=<72;qU;5528085g>{t?00;6?uQ789><7<1k2wx;l4?:3y]3d=:0:0=o6s|7c83>7}Y?k164949c:p3a<72;qU;i528485g>{t0k0;6?u28c847>;4139j7p}7c;296~;?j3=?707?:7a8yv>c2909w07>:2g89=b===1v5h50;0x9=`=>j165<475259>507=?=16=8<56b9~w<5=838p1<=7:3689<1=>j1v4:50;0x9<3=>j165:453z?:i3:1?v36a;4`?8?>21<01l95529~w=a<6n27j57?i;|q:g?6=;r72o78l;<;a>=0<5h219>5rs8f94?5|50n1:n529g82b>;fi3;m7p}6e;297~;>m3j16m=4>f:?bf?7a3tyj<7>53z?b4?0d34k:6ec<6n2wxm94?:2y>==27j:7;<;3e3e<5k;1=k52c582b>{tj80;6>u2b085g>;e;3;m70m::0d8yvd52908w0l=:7a89g7=0?16o94:3:pf6<72:q6n>49c:?a1?7a34i=65<4s4h?6;m4=c19<3=:k<0>?6s|b483>6}:j<0=o63m7;3e?8e028l0q~l9:1808d12?i01o;5879>g3<2;2wxn:4?:2y>f2<1k27i57?i;4`3e<5k=14;52c6867>{tj00;6>u2b885g>;ej3;m70m6:0d8yvdf2908w0ln:7a89g?=0?16o54:3:pfg<72:q6no49c:?a`?7a34ij65<4s4hh6;m4=c`9<3=:k00>?6s|be83>6}:jm0=o63mf;3e?8ee28l0q~lj:1808db2?i01oj5879>gd<2;2wxnk4?:3y>fc<1k27ho7?i;|q`4?6=;r7h<78l;<`e>=0<5jh19>5rsb394?5|5k:14;52c2867>;d:3j16h=4>f:?f6?7a3tyhj7>53z?`b?0d34in6584=d3916=z{m:1<7=t=e292f=:l;0:j63j3;3e?xuc93:1?v3k1;4`?8b721<01h<5529~wa4=839p1i<56b9>`1<6n27n87?i;|qg7?6=;r7o?78l;=0<5l919>5rse694?5|5m>1:n52d782b>;b=3;m7p}k5;297~;c=3j16h54>f:?f2?7a3tyo;7>53z?g3?0d34n=6584=d7916=z{m21<7=t=e:92f=:lh0:j63j7;3e?xuc13:1?v3k9;4`?8b?21<01h85529~wag=839p1io56b9>`f<6n27n47?i;|qgf?6=;r7on78l;=0<5l=19>5rsea94?4|5mi1:n52e882b>{tlm0;6>u2de85g>;ck32=70k7:418yvbb2908w0mk:9489`6==:16hk49c:pad<72;q6il49c:?f=?343tynn7>53z?ff?0d34oh60182b>{tmm0;6>u2ee85g>;bk32=70hi:418yvcb2908w0kj:7a89c6=9o16==?51g9~w``=839p1hh56b9>a`27:<=4:3:pb5<72:q6j=49c:?e6?7a34;;>7?i;|qe5?6=;r7m=78l;=0<58::68=4}rd1>5<4s4l96;m4=g695c=:9991=k5rsg194?5|5o91:n52f38;2>;68;0>?6s|f583>6}:n=0=o63i6;3e?877<3;m7p}i5;297~;a=3553=9o1vk950;1x9c1=>j16j;476:?241<2;2wxj54?:2y>b=<1k27mm7?i;<332?7a3tym57>53z?e=?0d34l36584=026>053e<58:<65<4s4li6;m4=gc9<3=:99<19>5rsga94?5|5lh14;52fd867>;al30983>7}:9921:n52115916=z{8;;6=4<{<324?0d34;:>7?i;<31e?7a3ty:=<4?:2y>547=>j16=<>5879>57?==:1v4;3e?875j3;m7p}>1283>6}:9891:n521009<3=:9;k19>5rs037>5<4s4;:878l;<322?7a34;9o7?i;|q250<72:q6=<;56b9>542=0?16=?l5529~w4712908w0?>6;4`?876?3;m70?=d;3e?xu69>0;6>u210592f=:9821=k5213g95c=z{8;36=4<{<3254?=>j16=<65879>57c==:1vb;3e?87483;m7p}>1c83>6}:98h1:n5210a95c=:9:;1=k5rs03`>5<5s4;:o78l;<306?7a3ty:=i4?:2y>540=0?16=?m5529>54c=>j1v2083>6}:98k14;5213d916=:9;81:n5rs000>5<4s4;:n769;<304?3434;9878l;|q260<72:q6=567==:16=?856b9~w4402909w0?<2;70?875033283>6}:99l1?h5211f97a=:99o1:n5rs017>5<3s4;o978l;<3g0?5b34;?j7=j;<34=?5b3ty:?84?:3y>p15>53`9><4<4i27:?5483:?;6?5f3ty:?:4?:7y>3`<4i276g<51>1?l528480e>;6;005g1=:=16i<49c:p56c=838p15<5s4;i57<;;3e7>52z?2g`<5<27:j1v<:;:18187dn38?70??3;4`?xu6<<0;6?u21c`961=:m<0=o6s|15494?4|58n;6?:4=027>3e52z?2ff<5<27n:78l;|q20=<72;q6=i?5259>553=>j1v<:6:18187el38?70k8:7a8yv73i3:1>v3>d3810>;68?0=o6s|15`94?4|58hn6?:4=d:92f=z{8>h6=4={<3g7?4334;;;78l;|q20a<72;q6=oh5259>a<<1k2wx=9k50;0x942b2>901v3>4d840>;684=0gb>60<58?:6:=4}r367?6=:r7:9i483:?2aa<4l2wx=8:50;0x943b2>9015g847>;6mm08n63>f080f>{t9<<1<7=t=043>25<58oo6>m4=0d2>6e;7>53z?224<0;27:ih4901:0ed80f>;6n;03:6s|14c94?4|586bn7>52z?220<0;27:j9410;6?u214g961=:i>0=o6s|17;94?4|58?m6?:4=`:92f=z{8534=:=16mo49c:p53b=838p1<8<:3689de=>j1v<8j:181871<38?70ok:7a8yv71n3:1>v3>64810>;fm37183>7}:9?<1>952ag85g>{t9>;1<7=t=8d9<3=:ih0>?63>0885g>{t9>81<7=t=`29<3=:ik0>?63>0`85g>{t9>91<7=t=`39<3=:ij0>?63>0c85g>{t9>>1<7=t=`09<3=:im0>?63>0b85g>{t9>?1<7=t=`19<3=:il0>?63>0e85g>{t9><1<75<5s4;<478l;<34=?5c3ty:;44?:4y>504=;l1658452d=>j16=8j51d9>50c=9l16=8h51d9>536=9l16=;?51d9>534=9l16=;=51d9>532=9l16=;;51d9>530=9l1v<9m:18a870k393;n70?62;3f?87>;3;n70?64;3f?87>=3;n70?66;3f?87>?3;n7p}>7e83>3}:9<81?n5216f92f=:1<08i6366;1g?870j39o70?8c;1g?xu6090;68u214097a=:91:1:n5216`97f=:9>i1?n52968;2>{t9181<76c<58n=68:4}r3;0?6=:r7:4h483:?2ac<4l2wx=5;50;0x94>a2>9013:1?v3>91847>;6mo08n63>f980`>{t91=1<7=t=0;2>25<58om6>m4=0d;>6e53z?2=7<0;27:j:4901f680g>;6n008n6s|19`94?5|583>6:=4=0d4>6d<58l26>k4}r3;g?6=;r7:5;483:?2b<<4k27:jl403:1>v3>8d810>;d;39883>7}:91l1>952c585g>{t90k1<772<5j?1:n5rs0;a>5<5s4;2=7<;;3e52z?2=7<5<27h;78l;|q2=a<72;q6=4=5259>g=<1k2wx=4k50;0x94?32;>01n756b9~w4?a2909w0?65;07?8ef2?i0q~?n0;296~;61?09863lb;4`?xu6i80;6?u2185961=:kj0=o6s|1`094?4|58826;m4=b097d=z{8k86=4={<31e?0d34h96>l4}r3b0?6=:r7:>o49c:?a0?5e3ty:m84?:3y>57e=>j16n;4=;k1vv3>2g85g>;ek39i7p}>a883>7}:9::1:n52bd80f>{t9hk1<73e<5j:1?o5rs0ca>5<5s4;8>78l;0556z?2f3<0;273j7=k;<3gf?5d34k>6>o4=ed97d=:0m08n6s|1`f94?1|58h<6:=4=8c97g=:ko08n63>dc80a>;6?>08n63>8080f>;?l39h7p}>ad83>=}:9k21;>521e;97`=:1j08n63k1;1a?870?39h70?8e;1`?87?939o706k:2f8yv7fn3:14v3>b8847>;6l008h636e;1a?8b42:h015k53c9>521=;m16=:k53c9>5=7=;j1va2:h01<>j:2f89a3=;k16==m53d9>55?=;h164h4n:2`894b>2:h01<>j:2`89a1=;k16==m53b9><`<4k27:;k455d=;k164k46d<58=o6>m4=0fb>6c5az?2fa<0;27:l4=02`>6d<582;6>m4=0fb>6e<58=n6>j4}r3a0?6=jr7:nh483:?24c<4l27:>7=m;<;3>6e<58=i6>l4=05`>6d<50?1?o529780g>;6lh08h6s|1c794?>|58hm6:=4=02e>6e<5lk1?o529180`>;60908n63>7g80f>;6?m08n63>d`80f>{t9j:1<78t=0ab>25<58=36>j4=032>6d<5on1?l521e697g=:9=l1?o5rs0a2>5<1s4;hn79<;<3g0?5d34;?j7=l;<34l4=030>6d7>56z?2gf<0;27:;54<7=m;|q2g6<72?q6=nj5729>52>=;j16j<490153`9~w4e2290>w0?lf;50?8`22:h0142:i013:19v3>d1847>;a?39i70?73;1a?875:39j70?k6;1a?xu6k>0;68u21e3936=:9m?1?i52f880f>;60:08h63>2580e>{t9j21<7;t=0f1>25<58n>6>l4=g`97g=:9191?h5213497d=z{8i26=49{<3g7?1434;;47=m;<315fd=?=16=nm5759>5fb=?=16=nk5759>5f`=?=16=i>5759>5a7=?=16=i<5759>5a5=?=16=i:56b9~w4b?290:9v3>c`82a>;6kk0:i63>cb82a>;6km0:i63>cd82a>;6ko0:i63>d182a>;6l80:i63>d382a>;6l:0:i63>b7840>;6j>0<863>b9840>;6j00<863>b`840>;6jk0<863>bb840>;6jm0<863>bd840>;6jo0<863>dc85g>{t9m31<73e<58ni6>j4}r3ge?6=:r7:ho4=0<4k272:7=m;|q2a<<72;q6=hl5729>56?=0?1v5<5s4;ni78l;<3e0?5d3ty:ik4?:3y>5``=>j16=ko53c9~w4`72909w0?i2;4`?87a<39n7p}>f083>7}:9o;1:n521g097g=z{8l86=4<{<3e2?5e34;mo7=m;<3e1?0d3ty:j94?:3y>5c2=>j16=k;53c9~w4`02909w0?i7;4`?87ai39o7p}>f983>7}:9o21:n521g;97a=z{8l26=4={<3e=?0d34;mm7=j;|q2bd<72:q6=ko56b9>5c0=;j16=km53b9~w4`e2909w0?ja;07?87ak33n7>51zJ;=>{i<1i1<7?tH9;8yk2?l3:1=vF79:m0=c=83;pD574}o6;b?6=9rB356sa48294?7|@130qc:61;295~N?12we84<50;3xL=?2?7>51zJ;=>{i<0>1<7?tH9;8yk2>=3:1=vF79:m0<0=83;pD574}o6:3?6=9rB356sa48:94?7|@130qc:69;295~N?12we84o50;3xL=?2n7>51zJ;=>{i<0i1<7?tH9;8yk2>l3:1=vF79:m0j?7>51zJ;=>{i1<7?tH9;8yk2f=3:1=vF79:m0d0=83;pD574}o6b3?6=9rB356sa4`:94?7|@130qc:n9;295~N?12we8lo50;3xL=?jn7>51zJ;=>{ii?7>51zJ;=>{i1<7?tH9;8yk2e=3:1=vF79:m0g0=83;pD574}o6a3?6=9rB356sa4c:94?7|@130qc:m9;295~N?12we8oo50;3xL=?in7>51zJ;=>{ih?7>51zJ;=>{i1<7?tH9;8yk2d=3:1=vF79:m0f0=83;pD574}o6`3?6=9rB356sa4b:94?7|@130qc:l9;295~N?12we8no50;3xL=?hn7>51zJ;=>{io?7>51zJ;=>{i1<7?tH9;8yk2c=3:1=vF79:m0a0=83;pD574}o6g3?6=9rB356sa4e:94?7|@130qc:k9;295~N?12we8io50;3xL=?on7>51zJ;=>{in?7>51zJ;=>{i1<7?tH9;8yk2b=3:1=vF79:m0`0=83;pD574}o6f3?6=9rB356sa4d:94?7|@130qc:j9;295~N?12we8ho50;3xL=?nn7>51zJ;=>{im?7>51zJ;=>{i1<7?tH9;8yk2a=3:1=vF79:m0c0=83;pD574}o6e3?6=9rB356sa4g:94?7|@130qc:i9;295~N?12we8ko50;3xL=?mn7>51zJ;=>{i51zJ;=>{i=9>1<7?tH9;8yk37=3:1=vF79:m150=83;pD574}o733?6=9rB356sa51:94?7|@130qc;?9;295~N?12we9=o50;3xL=?51zJ;=>{i=9i1<7?tH9;8yk37l3:1=vF79:m15c=83;pD574}o73b?6=9rB356sa50294?7|@130qc;>1;295~N?12we9<<50;3xL=?51zJ;=>{i=8>1<7?tH9;8yk36=3:1=vF79:m140=83;pD574}o723?6=9rB356sa50:94?7|@130qc;>9;295~N?12we951zJ;=>{i=8i1<7?tH9;8yk36l3:1=vF79:m14c=83;pD574}o72b?6=9rB356sa53294?7|@130qc;=1;295~N?12we9?<50;3xL=?51zJ;=>{i=;>1<7?tH9;8yk35=3:1=vF79:m170=83;pD574}o713?6=9rB356sa53:94?7|@130qc;=9;295~N?12we9?o50;3xL=?51zJ;=>{i=;i1<7?tH9;8yk35l3:1=vF79:m17c=83;pD574}o71b?6=9rB356sa52294?7|@130qc;<1;295~N?12we9><50;3xL=?51zJ;=>{i=:>1<7?tH9;8yk34=3:1=vF79:m160=83;pD574}o703?6=9rB356sa52:94?7|@130qc;<9;295~N?12we9>o50;3xL=?51zJ;=>{i=:i1<7?tH9;8yk34l3:1=vF79:m16c=83;pD574}o70b?6=9rB356sa55294?7|@130qc;;1;295~N?12we99<50;3xL=?51zJ;=>{i==>1<7?tH9;8yk33=3:1=vF79:m110=83;pD574}o773?6=9rB356sa55:94?7|@130qc;;9;295~N?12we99o50;3xL=?51zJ;=>{i==i1<7?tH9;8yk33l3:1=vF79:m11c=83;pD574}o77b?6=9rB356sa54294?7|@130qc;:1;295~N?12we98<50;3xL=??7>51zJ;=>{i=<>1<7?tH9;8yk32=3:1=vF79:m100=83;pD574}o763?6=9rB356sa54:94?7|@130qc;:9;295~N?12we98o50;3xL=?n7>51zJ;=>{i=51zJ;=>{i=?>1<7?tH9;8yk31=3:1=vF79:m130=83;pD574}o753?6=9rB356sa57:94?7|@130qc;99;295~N?12we9;o50;3xL=?51zJ;=>{i=?i1<7?tH9;8yk31l3:1=vF79:m13c=83;pD574}o75b?6=9rB356sa56294?7|@130qc;81;295~N?12we9:<50;3xL=?51zJ;=>{i=>>1<7?tH9;8yk30=3:1=vF79:m120=83;pD574}o743?6=9rB356sa56:94?7|@130qc;89;295~N?12we9:o50;3xL=?51zJ;=>{i=>i1<7?tH9;8yk30l3:1=vF79:m12c=83;pD574}o74b?6=9rB356sa59294?7|@130qc;71;295~N?12we95<50;3xL=?51zJ;=>{i=1>1<7?tH9;8yk3?=3:1=vF79:m1=0=83;pD574}o7;3?6=9rB356sa59:94?7|@130qpsr@AAx2=g=l0839n9l}ABA5{GHYqvLM \ No newline at end of file diff --git a/main.ngd b/main.ngd new file mode 100644 index 0000000..6fe1287 --- /dev/null +++ b/main.ngd @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$37f5=6:2.Yi{g|inl9$4(5=1*/=6>;;12351=7898?7=>?D5934763398;<95?6121?5>339K;>95?A1:2?42<98;886?<32686567<285t:<:no}?<2,77?032>=1>989;;923447<03E^X][[:MGG82<768;047AZTQWW>WAE4>0;2<562:;05>G53H387LJ]4:@2545B6;;1OEh5KRB]PQFEB[ZL^@85KRHTF5>C33L>;<95JEDC7?@CA811NT]OADDF25>C_XHDOIIQMCE]EMIC6<2OS\L@KEE]@KKUSZHCEX^?>;DZSEKBBLVCXIRHFLD68B5>7;2L:?>5I1508B2487F?<5:NBPFN53EN=7AKK<1<5?ICC484=7AKK<3<5?ICC4:4=7AKK<5<5?ICC4<4=7AKK<7<;?ICC4>0;2;5CEE>4:d=KMMU;SBLZF`9OAAY6WFH^Jl5CEE]1[JDRNh1GIIQ<_N@VBd=KMMU?SBLZF`9OAAY2WFH^Jl5CEE]5[JDRNh1GIIQ8_N@VB7=KA>1GEO\NRX48HJGCMM90@BM7;MM@EIDRN>1GCJGLAM68HPR6<2F^X?84LTV1[I2JR\=<0@XZ;_E48HPR3WE20@[MBOR107>K69;1F9?5B629NQ]3Kfbfx]i}fooa8Ilhhz_oydaa2:L37>H79;1E=>5A1018J4543G;>?6@>729M5<4VFZ]k0\D@PBTQJ@]d>79QCG:56?1YKO2<>79QCG:36?1YKO2:>79QCG:1611YKO28:1<5?WAE4>4j7_IM_1]LFP@f3[MIS5\EU18WC@33ZFN[95\RRV7?VUB\?1_EHAIF59W]UC13\D^_HJl;TQFVZPN[@HGI>5YCBa8RBGCz`|n~"F\159UCDBuaoy#E]Paof34566>2\LMI|fvdp,LVYffm:;<=<=209UCDBuaoy#E]Paof34565:&F^X9QC_CWE5==QOHNye{k}/IQ\ekb789:9>"A>a:TDEAtn~lx$D^Qnne234545WZ];=;5YG@Fqmscu'AYTmcj?0127140<^NKO~dxjr.JP[dhc89:;;>?n;WEB@woqm{%C_Road123425X[^::?6XHAEpjr`t(A[MI0=0>1008RBGCz`|n~"G]GC>1:4753_MJHgyes-JVBD;<7;:?6XHAEpjr`t(A[MI090>1018RBGCz`|n~"G]GC>7:446=2\LMI|fvdp,MWAE4=4:Sk;>e:TDEAtn~lx$Aljk_Y]b`a6789Uhu1>11d9UCDBuaoy#@okd^Z\eab789:Tot2>>338RBGCz`|n~"Cnde][[dbc89:;Snw31?]qp4c<^NKO~dxjr.Ob`aY_Whno<=>?_b{?6;463_MJHgyes-NeabXPVkoh=>?0^az878Xz};n7[INDskuaw)JimnTTRokd1234Ze~4:49=6XHAEpjr`t(EhnoSUQnde2345Ydq595Sz>e:TDEAtn~lx$Aljk_Y]b`a6789Uhu1:11d9UCDBuaoy#@okd^Z\eab789:Tot2:>0g8RBGCz`|n~"Cnde][[dbc89:;Snw36?3f?SAFL{c}i!Baef\\Zgcl9:;P@IMxbzh| M`fg[]Yflm:;<=Qly=:=5c=QOHNye{k}/Lcg`Z^Ximn;<=>Pltv?4;423_MJHgyes-NeabXPVkoh=>?0^nvp969W@D]S=?i;WEB@woqm{%FmijPX^cg`5678Vrd~1>11g9UCDBuaoy#@okd^Z\eab789:Ttb|31?3e?SAFL{c}i!Baef\\Zgcl9:;Pxnp?7;7a3_MJHgyes-NeabXPVkoh=>?0^zlv9299o1]KLJ}iwgq+HgclVRTmij?012\|jt;=7;m7[INDskuaw)JimnTTRokd1234Z~hz5<5=k5YG@Fqmscu'DkohRVPaef3456Xpfx7;3?i;WEB@woqm{%FmijPX^cg`5678Vrd~1611g9UCDBuaoy#@okd^Z\eab789:Ttb|39?3f?SAFL{c}i!Baef\]Zgcl9:;P@IMxbzh| M`fg[\Yflm:;<=Qly=3=64=QOHNye{k}/Lcg`Z_Ximn;<=>Pcx>2:Zts9l1]KLJ}iwgq+HgclVSTmij?012\g|:56;;0ZJOKrhtfv*KflmURSljk0123[f;:7Uyx;WEB@woqm{%FmijPY^cg`5678Vir0>0Pru3f?SAFL{c}i!Baef\]Zgcl9:;P@IMxbzh| M`fg[\Yflm:;<=Qly=6=[wr6m2\LMI|fvdp,IdbcWPUjhi>?01]`}939:81]KLJ}iwgq+HgclVSTmij?012\g|:26Vx=h5YG@Fqmscu'DkohRWPaef3456Xkp6=2??4VFCGvlpbz&GjhiQV_`fg4567Wjs7:3Q}t0g8RBGCz`|n~"Cnde]Z[dbc89:;Snw37?02?SAFL{c}i!Baef\]Zgcl9:;?0^az8=8592\LMI|fvdp,IdbcWPUjhi>?01]`}9>9W{~:j6XHAEpjr`t(EhnoSTQnde2345Yk}}6;2?;4VFCGvlpbz&GjhiQV_`fg4567We0=0PIOT\44`<^NKO~dxjr.Ob`aY^Whno<=>?_ymq8586n2\LMI|fvdp,IdbcWPUjhi>?01]{kw:668l0ZJOKrhtfv*KflmURSljk0123[}iu4;4:j6XHAEpjr`t(EhnoSTQnde2345Yg{682f:TDEAtn~lx$Aljk_X]b`a6789Usc2:>0d8RBGCz`|n~"Cnde]Z[dbc89:;Sua}<7<2b>P@IMxbzh| M`fg[\Yflm:;<=Qwos>4:4`<^NKO~dxjr.Ob`aY^Whno<=>?_ymq8=86n2\LMI|fvdp,IdbcWPUjhi>?01]{kw:>68i0ZJOKrhtfv*Kdg|dSea}i{]`}9699j1]KLJ}iwgq+Heh}g~Tdb|fz^az8486n2\LMI|fvdp,Ifirf}Uccgu_b{?5;Yu|8i0ZJOKrhtfv*Kdg|dSea}i{]`}9499o1]KLJ}iwgq+Heh}g~Tdb|fz^az878Xz};h7[INDskuaw)JkfexRf`rhx\g|:468l0ZJOKrhtfv*Kdg|dSea}i{]`}959W{~:o6XHAEpjr`t(Eje~byQgosky[f;<7;m7[INDskuaw)JkfexRf`rhx\g|:36Vx=n5YG@Fqmscu'DidyczPhnpj~Ze~4<4:j6XHAEpjr`t(Eje~byQgosky[f;=7Uyxc:TDEAtn~lx$Anaznu]kkwo}Wjs743?i;WEB@woqm{%Fob{at^jlvl|Xkp632R|{1e9UCDBuaoy#@m`uov\ljtnrVf~x1>1229UCDBuaoy#@m`uov\ljtnrVf~x1>1_HLU[57c3_MJHgyes-Ngjsi|Vbd~dtPxnp?4;7c3_MJHgyes-Ngjsi|Vbd~dtPxnp?5;7c3_MJHgyes-Ngjsi|Vbd~dtPxnp?6;7c3_MJHgyes-Ngjsi|Vbd~dtPxnp?7;7c3_MJHgyes-Ngjsi|Vbd~dtPxnp?0;7c3_MJHgyes-Ngjsi|Vbd~dtPxnp?1;7c3_MJHgyes-Ngjsi|Vbd~dtPxnp?2;7c3_MJHgyes-Ngjsi|Vbd~dtPxnp?3;7c3_MJHgyes-Ngjsi|Vbd~dtPxnp?<;7c3_MJHgyes-Ngjsi|Vbd~dtPxnp?=;473_MJHgyes-Ngjsi|Vbd~dtPxnp?=;Yu|8h0ZJOKrhtfv*Kdg|dS{k}t^az8586j2\LMI|fvdp,Ifirf}U}izPcx>2:4c<^NKO~dxjr.O`kphsWoyxRmv<0<\vq7e3_MJHgyes-Ngjsi|V|n~yQly=0=5`=QOHNye{k}/LalqkrX~lxSnw32?]qp4d<^NKO~dxjr.O`kphsWoyxRmv<2<2a>P@IMxbzh| MbmvjqYqm{~Tot2<>^pw5g=QOHNye{k}/LalqkrX~lxSnw34?3f?SAFL{c}i!BcnwmpZpbz}Uhu1:1_sv2f>P@IMxbzh| MbmvjqYqm{~Tot2:>0g8RBGCz`|n~"Clotlw[scu|Vir080Pru3a?SAFL{c}i!BcnwmpZpbz}Uhu1811d9UCDBuaoy#@m`uov\r`tsWjs7:3Q}t0`8RBGCz`|n~"Clotlw[scu|Vir0:0>e:TDEAtn~lx$Anaznu]uawrXkp6<2R|{1c9UCDBuaoy#@m`uov\r`tsWjs743?j;WEB@woqm{%Fob{at^tfvqYdq525Sz>c:TDEAtn~lx$Anaznu]uawrXd|~7<3<=;WEB@woqm{%Fob{at^tfvqYk}}6;2RGAV^22g>P@IMxbzh| MbmvjqYqm{~Ttb|30?3`?SAFL{c}i!BcnwmpZpbz}Usc2>>0a8RBGCz`|n~"Clotlw[scu|Vrd~1<11b9UCDBuaoy#@m`uov\r`tsWqey0>0>c:TDEAtn~lx$Anaznu]uawrXpfx783?l;WEB@woqm{%Fob{at^tfvqYg{6>24:o6XHAEpjr`t(Eje~byQyesv\|jt;07;h7[INDskuaw)JkfexRxjru]{kw:>68l0ZJOKrhtfv*Kdg|dS{k}t^zlv9?9W{~9m6XHAEpjr`t(EziSUQndeqvf5678Vrd~1:110]JJSY7:=1]KLJ}iwgq+HurjVRTmij|uc2345Yg{6>24:=?:4VFCGvlpbz&GxyoQW_`fgwpd789:Ttb|38?3260=QOHNye{k}/LqvfZ^Ximnxyo>?01]{kw:>68;:>95YG@Fqmscu'Dy~nRVPaefpqg6789Usc26>0015>P@IMxbzh| Mrwa[\Yflmy~n=>?0^az8585<2\LMI|fvdp,IvseWPUjhi}zb1234Ze~494T~y<>;WEB@woqm{%FxlPY^cg`vse89:;Snw31?07?SAFL{c}i!Bst`\]Zgclzi<=>?_b{?5;Yu|;;0ZJOKrhtfv*Kt}kURSljkst`3456Xkp692?:4VFCGvlpbz&GxyoQV_`fgwpd789:Tot2=>^pw64=QOHNye{k}/LqvfZ_Ximnxyo>?01]`}959:81]KLJ}iwgq+HurjVSTmij|uc2345Ydq5>5><5YG@Fqmscu'Dy~nRWPaefpqg6789Uhu1;1259UCDBuaoy#@}zb^[\eabt}k:;<=Qly=7=[wr592\LMI|fvdp,IvseWPUjhi}zb1234Ze~4?49=6XHAEpjr`t(EziSTQndeqvf5678Vir0:0=1:TDEAtn~lx$A~{m_X]b`aurj9:;P@IMxbzh| Mrwa[\Yflmy~n=>?0^nvp959:11]KLJ}iwgq+HurjVSTmij|uc2345Yk}}682RGAV^216>P@IMxbzh| Mrwa[\Yflmy~n=>?0^nvp929:11]KLJ}iwgq+HurjVSTmij|uc2345Yk}}6?2RGAV^216>P@IMxbzh| Mrwa[\Yflmy~n=>?0^nvp909:11]KLJ}iwgq+HurjVSTmij|uc2345Yk}}6=2RGAV^216>P@IMxbzh| Mrwa[\Yflmy~n=>?0^nvp919:11]KLJ}iwgq+HurjVSTmij|uc2345Yk}}6<2RGAV^216>P@IMxbzh| Mrwa[\Yflmy~n=>?0^nvp9>9:11]KLJ}iwgq+HurjVSTmij|uc2345Yk}}632RGAV^216>P@IMxbzh| Mrwa[\Yflmy~n=>?0^nvp9?9:11]KLJ}iwgq+HurjVSTmij|uc2345Yk}}622RGAV^216>P@IMxbzh| Mrwa[\Yflmy~n=>?0^zlv969:;1]KLJ}iwgq+HurjVSTmij|uc2345Yg{6:2?<4VFCGvlpbz&GxyoQV_`fgwpd789:Ttb|32?01?SAFL{c}i!Bst`\]Zgclzi<=>?_ymq8685:2\LMI|fvdp,IvseWPUjhi}zb1234Z~hz5>5>?5YG@Fqmscu'Dy~nRWPaefpqg6789Usc2:>308RBGCz`|n~"C|uc]Z[dbc{|h;<=>Pxnp?2;453_MJHgyes-NwpdXQVkoh~{m0123[}iu4>49>6XHAEpjr`t(EziSTQndeqvf5678Vrd~161239UCDBuaoy#@}zb^[\eabt}k:;<=Qwos>::f=QOHNye{k}/O0`?SAFL{c}i!A7b9UCDBuaoy#C7>0:TDEAtn~lx$^JL34?33?SAFL{c}i!]GC>4:c=QOHNye{k}/SEA[1`<^NKO~dxjr.PDFZ16;2\LMI|fvdp,V`urd}6;2<:4VFCGvlpbz&Xnxb{<1<256=QOHNye{k}/Sgpqir;97;?7[INDskuaw)Umzgx1?11018RBGCz`|n~"\jstnw8786<2\LMI|fvdp,V`urd}692068RBGCz`|n~"\jstnw81869:1]KLJ}iwgq+Wct}e~793?;;WEB@woqm{%Yi~{ct=7=545<^NKO~dxjr.Pfwpjs4?4:86XHAEpjr`t(Zly~`y29>030?SAFL{c}i!]erwop9199=1]KLJ}iwgq+Wct}e~7;3?>3:TDEAtn~lx$^h}zlu>;:42<^NKO~dxjr.Pfwpjs414:=>5YG@Fqmscu'[oxyaz39?37?SAFL{c}i!]erwop9?99j1]KLJ}iwgq+SU6<2\LMI|fvdp,RVYffm:;<=?7;WEB@woqm{%]_Road1234ZUP8;90ZJOKrhtfv*PTWhdo<=>?_RU3+ISS;VFTNXH>a:TDEAtn~lx$Z^Qnne2345YT_9%Dn6XHAEpjr`t(_8>0ZJOKrhtfv*QXff~;<=?>e:TDEAtn~lx$T1>1e:TDEAtn~lx$T1?1e:TDEAtn~lx$T1<1e:TDEAtn~lx$T1=1e:TDEAtn~lx$T1:1e:TDEAtn~lx$T1;1e:TDEAtn~lx$T181e:TDEAtn~lx$T191e:TDEAtn~lx$T161e:TDEAtn~lx$T171d:TDEAtn~lx$TR>k;WEB@woqm{%SSi5YG@Fqmscu'QU8h6XHAEpjr`t(PV>o7[INDskuaw)_Wm1]KLJ}iwgq+]Y0l2\LMI|fvdp,\Z>c3_MJHgyes-[[<713_MJHgyes-[[dbc89:;0=0>6:TDEAtn~lx$TRokd12349799?1]KLJ}iwgq+]Yflm:;<=2=>048RBGCz`|n~"VPaef3456;;7;=7[INDskuaw)_Whno<=>?<5<22>P@IMxbzh| X^cg`56785?5=;5YG@Fqmscu'QUjhi>?01>5:40<^NKO~dxjr.Z\eab789:7;3?9;WEB@woqm{%SSljk01238=86>2\LMI|fvdp,\Zgcl9:;<171189UCDBuaoy#UQndeqvf56785>5=45YG@Fqmscu'QUjhi}zb1234939901]KLJ}iwgq+]Yflmy~n=>?0=4=5<=QOHNye{k}/Y]b`aurj9:;<191189UCDBuaoy#UQndeqvf5678525=45YG@Fqmscu'QUjhi}zb12349?99?1]KLJ}iwgq+]Yffm:;<=?>1338RBGCz`|n~"VPaof3456698%GYY=PL^@VB4><^NKO~dxjr.Z\ekb789::=>3702?SAFL{c}i!W_`lg45679:<$@XZ;_E]AQC713_MJHgyes-[[dhc89:;=8?>8:TDEAtn~lx$TRoad1234436W8;37[INDskuaw)_Whdo<=>?143\643<^NKO~dxjr.Z\ekb789:8;<74VFCGvlpbz&RTmcj?01203ZUP8;>0ZJOKrhtfv*^Xign;<=><7^QT4*JR\=UGSO[I1c9UCDBuaoy#UQnne234550WZ];#B?6;WEB@woqm{%SSl`k012317[INDskuaw)_Whdo<=>?643:?SAFL{c}i!W_`lg4567>P@IMxbzh| X^cm`567809:56XHAEpjr`t(PVkeh=>?08:\WR6b3_MJHgyes-Z858b3_MJHgyes-Z848b3_MJHgyes-Z878b3_MJHgyes-Z868b3_MJHgyes-Z818b3_MJHgyes-Z808b3_MJHgyes-Z838b3_MJHgyes-Z828b3_MJHgyes-Z8=8b3_MJHgyes-Z8<8c3_MJHgyes-Z[5b<^NKO~dxjr.[\5a=QOHNye{k}/X]1`>P@IMxbzh| Y^1g?SAFL{c}i!V_5f8RBGCz`|n~"WP5e9UCDBuaoy#TQ9d:TDEAtn~lx$UR9k;WEB@woqm{%RS5j4VFCGvlpbz&ST5<84VFCGvlpbz&STmij?012?4;713_MJHgyes-Z[dbc89:;0<0>6:TDEAtn~lx$URokd12349499?1]KLJ}iwgq+\Yflm:;<=2<>048RBGCz`|n~"WPaef3456;<7;=7[INDskuaw)^Whno<=>?<4<22>P@IMxbzh| Y^cg`56785<5=;5YG@Fqmscu'PUjhi>?01>4:40<^NKO~dxjr.[\eab789:743?9;WEB@woqm{%RSljk01238<8612\LMI|fvdp,]Zgclzi<=>?<1<2=>P@IMxbzh| Y^cg`vse89:;0<0>9:TDEAtn~lx$URokdrwa45674;4:56XHAEpjr`t(QVkoh~{m0123868612\LMI|fvdp,]Zgclzi<=>?<5<2=>P@IMxbzh| Y^cg`vse89:;080>9:TDEAtn~lx$URokdrwa45674?4:56XHAEpjr`t(QVkoh~{m0123828612\LMI|fvdp,]Zgclzi<=>?<9<2=>P@IMxbzh| Y^cg`vse89:;040>2:TDEAtn~lx$db|fz=2=57=QOHNye{k}/imqm:66880ZJOKrhtfv*nhz`p7>3?=;WEB@woqm{%ccgu<2<26>P@IMxbzh| hnpj~9299;1]KLJ}iwgq+miuas6>2<<4VFCGvlpbz&bd~dt36?31?SAFL{c}i!gosky8286:2\LMI|fvdp,ljtnr525=?5YG@Fqmscu'aeyew26>038RBGCz`|n~"f`rhx\447<^NKO~dxjr.jlvl|X98;0ZJOKrhtfv*nhz`pT>>038RBGCz`|n~"xjru>1:47<^NKO~dxjr.tfvq:468;0ZJOKrhtfv*pbz}6?2038RBGCz`|n~"xjru>5:47<^NKO~dxjr.tfvq:068;0ZJOKrhtfv*pbz}632028RBGCz`|n~"xjru]355=QOHNye{k}/wgqpZ7682\LMI|fvdp,r`tsW;;;7[INDskuaw)qm{~T?<>4VFCGvlpbz&|n~yQ;119UCDBuaoy#{k}t^724>P@IMxbzh| vdpw[3773_MJHgyes-uawrX?8:0ZJOKrhtfv*pbz}U3==5YG@Fqmscu'oyxR7>9:TDEAtn~lx$zh|{_bos[`w789::m6XHAEpjr`t(~lxSnc_ds345669l1]KLJ}iwgq+scu|Vif|Rk~01235ZUP8;30ZJOKrhtfv*pbz}Uha}Qjq12344YT_9%GYY?00]PS5)H9m1]KLJ}iwgq+scu|Vif|Rk~0123[VQ7:11]KLJ}iwgq+scu|Vif|Rk~0123[VQ7'E__?RBPBTD2b>P@IMxbzh| vdpw[fkwWl{;<=>PSV2,K4?<^NKO~dxjr.tfvqYdeyUn}=>?10`8RBGCz`|n~"xjru]`iuYby9:;=b:TDEAtn~lx$zh|{_bos[`w789;9>?84VFCGvlpbz&|n~yQlmq]fu5679;8$@XZ;_M]AQC7c3_MJHgyes-uawrXkdzTi|>?0001+J7e3_MJHgyes-uawrXkdzTi|>?0015=>PNM^U_U]K=;WQ4?SUXGK_M=i5WIMKM\(^CJ):%=-][UC"3*4&F[JCB?6V@R49[KWE^>2RXXAGM7:ZPPZAIL>1S_YQYCB48\adXAmh0TifPPsknMa~e3QncS]|fmHlug>^c`VZye`Zvpdd8\anXX{cfZh||inl24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf:1Sy:4ZDPL<>oiznh78364iopdf919?2ce~jlP469jjwaeW>n0ec|hb^cm`56788:0ec|hb^cm`567888?==5fnsea[dhc89:;=99i;hlqcgYffm:;<=?:119jjwaeWhdo<=>?17025>oiznhTmcj?01222776;2ce~jlPaof34566>;Um9<>4iopdfZgil9:;>109jjwaeWhdo<=>?213256=nf{miSl`k0123657Xn<;;7d`}gc]bja67898:5k5fnsea[dhc89:;?8h4iopdfZgil9:;<8;i;hlqcgYffm:;<=8:f:kmvbdXign;<=>81028mkt`jVg~t=>?1=1=54=nf{miS`{w01228686<2gjec64nffaqljc12y{mznn2g;?}okagr~b<64xml{[dssgV:Ttil crv-|gecuIJ{;5h4@Az11<d3=9:995lk5570:k4383;0b?:>:79'66d=::?0q^d3=9:995lk55776?V1c2;?j6<4>320:e`<2><20_?j524c95?74;;3ji7;95`9g60?=83;1=v]=e;076?g22898>4oj:441=>"5938>n6F<2:tW7=<7280:6<>n{R0f>7252h?1=>==9`g9134>3-88>76?;W00b?4|}0:1=6{61;28y!gf2880(>l50:&0=?42l2.8m78>;c06=?6=9:0:=o4>08yKg`=#kj09945U238141=9?0ve?o50;9l16<722e9?>4?::ma=?6=,hi1n55aac83?>ie?3:1(lm5b99meg<632ei:7>5$`a9f==iik0976am5;29 de=j11emo4<;:ma0?6=,hi1n55aac87?>ie;3:1(lm5b99meg<232ei>7>5$`a9f==iik0=76am1;29 de=j11emo48;:m46?6=,hi1;<5aac83?>i083:1(lm5709meg<632e=j7>5$`a934=iik0976a9e;29 de=?81emo4<;:m5`?6=,hi1;<5aac87?>i1k3:1(lm5709meg<232e=n7>5$`a934=iik0=76a9a;29 de=?81emo48;:`17<<7280;6=u+cb865>N5;11Coh5+22g9615<,>i1>8m4o5a94?"5;l0j?65rb31b>5<6290;w)ml:bd8L75?3Ain7)<Ndm2.>j7<4$31f>3><,>i1>8m4i3d94?"5;l0>n65f4b83>!44m38j76ane;29 75b2h907d;?:18'66c=:>10elj50;&17`21b>n4?:%00a?e432wi>9950;494?6|,ji1:45G22:8Lfc<,6*=3d85<>"0k38>o6g=f;29 75b25$31f>f0<3`8h6=4+22g9g6=53;294~"dk388;6F=399Kg`=#::o1>>84$6a960e=n?k0;6)<if83:1(?=j:`18?xd69;0;6>4?:1y'gf<5;>1C>>64Hbg8 75b2;9=7)9l:37`?l4?290/>>k55c98m2d=83.9?h4:b:9le5<72-88i7o<;:a550=83>1<7>t$ba9<`=O::20Dnk4$31f>=b<,>i1>8m4i3:94?"5;l0>h65f3083>!44m3?h76gl4;29 75b2j?07bo?:18'66c=i:10qo?>1;297?6=8r.ho7<<7:J17==Okl1/>>k52248 2e=:5$31f>d5<3th:<94?:583>5}#kj03i6F=399Kg`=#::o14i5+7b811f=n:10;6)<o493:1(?=j:4a8?le3290/>>k5c498kd6=83.9?h4n3:9~f47729086=4?{%a`>7503A8846Fle:&17`<5;?1/;n4=5b9j6=<72-88i7;m;:k4f?6=,;9n68l4;nc3>5<#::o1m>54}c336?6=<3:1"0k38>o6g=8;29 75b2:18'66c==j10en:50;&17`>94H31;?Meb3-88i7<<6:&4g?42k2c947>5$31f>0d<3`=i6=4+22g91g==zj8:;6=4;:183!ed21o0D?=7;Iaf?!44m32o7)9l:37`?l4?290/>>k55e98m67=83.9?h4:c:9jg1<72-88i7m:;:mb4?6=,;9n6l=4;|`24`<72:0;6=u+cb8172=O::20Dnk4$31f>7513-=h6?;l;h0;>5<#::o19o54i6`94?"5;l0>n65`a183>!44m3k876smfd83>1<729q/on47e:J17==Okl1/>>k58e9'3f<5=j1b>54?:%00a?3c32c8=7>5$31f>0e<3`i?6=4+22g9g0==zj8:o6=4<:183!ed2;9<7E<<8:J`a>"5;l09?;5+7b811f=n:10;6)<o0j3:1(?=j:4`8?jg7290/>>k5a298yg`d290?6=4?{%a`>=c<@;937Emj;%00a?>c3-=h6?;l;h0;>5<#::o19i54i2394?"5;l0>o65fc583>!44m3i>76an0;29 75b2h907pl>0b83>6<729q/on4=369K66><@jo0(?=j:315?!1d2;?h7d<7:18'66c==k10e:l50;&17`<2j21dm=4?:%00a?g432wijl4?:583>5}#kj03i6F=399Kg`=#::o14i5+7b811f=n:10;6)<o493:1(?=j:4a8?le3290/>>k5c498kd6=83.9?h4n3:9~f46e29086=4?{%a`>7503A8846Fle:&17`<5;?1/;n4=5b9j6=<72-88i7;m;:k4f?6=,;9n68l4;nc3>5<#::o1m>54}cd;>5<3290;w)ml:9g8L75?3Ain7)<?50;&17`<2k21bo94?:%00a?e232ej<7>5$31f>d5<3th:5}#kj09?:5G22:8Lfc<,;9n6?=9;%5`>73d3`836=4+22g91g=h1<7*=3d86f>=hi90;6)<{en>0;694?:1y'gf5<#::o1o854o`294?"5;l0j?65rbg494?5=83:p(nm52258L75?3Ain7)<"0k38>o6g=8;29 75b250;&17`>94H31;?Meb3-88i7<<6:&4g?42k2c947>5$31f>0d<3`=i6=4+22g91g==zjlk1<7:50;2x fe=0l1C>>64Hbg8 75b21n0(:m524a8m7>=83.9?h4:d:9j74<72-88i7;l;:k`0?6=,;9n6n;4;nc3>5<#::o1m>54}cd7>5<4290;w)ml:314?M4402Bhi6*=3d8173=#?j099n5f2983>!44m3?i76g8b;29 75b25<7s-ih65k4H31;?Meb3-88i76k;%5`>73d3`836=4+22g91a==nk=0;6)<if83:1(?=j:`18?xda;3:1?7>50z&`g?44?2B9?55Gcd9'66c=::<0(:m524a8m7>=83.9?h4:b:9j3g<72-88i7;m;:mb4?6=,;9n6l=4;|`f"0k38>o6g=8;29 75b2:18'66c==j10en:50;&17`5}#kj09?:5G22:8Lfc<,;9n6?=9;%5`>73d3`836=4+22g91g=h1<7*=3d86f>=hi90;6)<{em>0;694?:1y'gf5<#::o1o854o`294?"5;l0j?65rbg394?5=83:p(nm52258L75?3Ain7)<"0k38>o6g=8;29 75b250;&17`=n;80;6)<od<3:1(?=j:b78?jg7290/>>k5a298yg`729086=4?{%a`>7503A8846Fle:&17`<5;?1/;n4=5b9j6=<72-88i7;m;:k4f?6=,;9n68l4;nc3>5<#::o1m>54}cg7>5<3290;w)ml:9g8L75?3Ain7)<?50;&17`<2k21bo94?:%00a?e232ej<7>5$31f>d5<3thnj7>53;294~"dk388;6F=399Kg`=#::o1>>84$6a960e=n?k0;6)<if83:1(?=j:`18?xdb:3:187>50z&`g?>b3A8846Fle:&17`5<#::o19n54ib694?"5;l0h965`a183>!44m3k876smed83>6<729q/on4=369K66><@jo0(?=j:315?!1d2;?h7d<7:18'66c==k10e:l50;&17`<2j21dm=4?:%00a?g432wii=4?:583>5}#kj03i6F=399Kg`=#::o14i5+7b811f=n:10;6)<o493:1(?=j:4a8?le3290/>>k5c498kd6=83.9?h4n3:9~f`b=8391<7>t$ba9661<@;937Emj;%00a?44>2.5<#::o19o54o`294?"5;l0j?65rbed94?2=83:p(nm58d9K66><@jo0(?=j:9f8 2e=:5$31f>f3<3fk;6=4+22g9e6=53;294~"dk388;6F=399Kg`=#::o1>>84$6a960e=n?k0;6)<if83:1(?=j:`18?xd64?:1y'gf<5;>1C>>64Hbg8 75b2;9=7)9l:37`?l4?290/>>k55c98m2d=83.9?h4:b:9le5<72-88i7o<;:a517=83>1<7>t$ba9<`=O::20Dnk4$31f>=b<,>i1>8m4i3:94?"5;l0>h65f3083>!44m3?h76gl4;29 75b2j?07bo?:18'66c=i:10qo?;c;297?6=8r.ho7<<7:J17==Okl1/>>k52248 2e=:5$31f>d5<3th:?k4?:583>5}#kj03i6F=399Kg`=#::o14i5+7b811f=n:10;6)<o493:1(?=j:4a8?le3290/>>k5c498kd6=83.9?h4n3:9~f42e29086=4?{%a`>7503A8846Fle:&17`<5;?1/;n4=5b9j6=<72-88i7;m;:k4f?6=,;9n68l4;nc3>5<#::o1m>54}c30`?6=<3:1"0k38>o6g=8;29 75b2:18'66c==j10en:50;&17`>94H31;?Meb3-88i7<<6:&4g?42k2c947>5$31f>0d<3`=i6=4+22g91g==zj89i6=4;:183!ed21o0D?=7;Iaf?!44m32o7)9l:37`?l4?290/>>k55e98m67=83.9?h4:c:9jg1<72-88i7m:;:mb4?6=,;9n6l=4;|`20<<72:0;6=u+cb8172=O::20Dnk4$31f>7513-=h6?;l;h0;>5<#::o19o54i6`94?"5;l0>n65`a183>!44m3k876sm12;94?2=83:p(nm58d9K66><@jo0(?=j:9f8 2e=:5$31f>f3<3fk;6=4+22g9e6=53;294~"dk388;6F=399Kg`=#::o1>>84$6a960e=n?k0;6)<if83:1(?=j:`18?xd6;>0;694?:1y'gf5<#::o1o854o`294?"5;l0j?65rb064>5<4290;w)ml:314?M4402Bhi6*=3d8173=#?j099n5f2983>!44m3?i76g8b;29 75b2Ndm2.9?h47d:&4g?42k2c947>5$31f>0b<3`9:6=4+22g91f=1<7*=3d8`1>=hi90;6)<{e9=<1<7=50;2x fe=::=0D?=7;Iaf?!44m388:6*8c;06g>o503:1(?=j:4`8?l1e290/>>k55c98kd6=83.9?h4n3:9~f454290?6=4?{%a`>=c<@;937Emj;%00a?>c3-=h6?;l;h0;>5<#::o19i54i2394?"5;l0>o65fc583>!44m3i>76an0;29 75b2h907pl>4483>6<729q/on4=369K66><@jo0(?=j:315?!1d2;?h7d<7:18'66c==k10e:l50;&17`<2j21dm=4?:%00a?g432wi=><50;694?6|,ji14h5G22:8Lfc<,;9n65j4$6a960e=n;80;6)<od<3:1(?=j:b78?jg7290/>>k5a298yg7483:1?7>50z&`g?44?2B9?55Gcd9'66c=::<0(:m524a8m7>=83.9?h4:b:9j3g<72-88i7;m;:mb4?6=,;9n6l=4;|`26c<72:0;6=u+cb8172=O::20Dnk4$31f>7513-=h6?;l;h0;>5<#::o19o54i6`94?"5;l0>n65`a183>!44m3k876sm13194?2=83:p(nm58d9K66><@jo0(?=j:9f8 2e=:5$31f>f3<3fk;6=4+22g9e6=53;294~"dk388;6F=399Kg`=#::o1>>84$6a960e=n?k0;6)<if83:1(?=j:`18?xd6:80;694?:1y'gf5<#::o1o854o`294?"5;l0j?65rb00g>5<4290;w)ml:314?M4402Bhi6*=3d8173=#?j099n5f2983>!44m3?i76g8b;29 75b2f;290?6=8r.ho76j;I00<>Ndm2.9?h47d:&4g?42k2c947>5$31f>0b<3`9:6=4+22g91f=1<7*=3d8`1>=hi90;6)<{e9;i1<7=50;2x fe=::=0D?=7;Iaf?!44m388:6*8c;06g>o503:1(?=j:4`8?l1e290/>>k55c98kd6=83.9?h4n3:9~f47c290?6=4?{%a`>=c<@;937Emj;%00a?>c3-=h6?;l;h0;>5<#::o19i54i2394?"5;l0>o65fc583>!44m3i>76an0;29 75b2h907pl>2c83>6<729q/on4=369K66><@jo0(?=j:315?!1d2;?h7d<7:18'66c==k10e:l50;&17`<2j21dm=4?:%00a?g432wi==n;80;6)<od<3:1(?=j:b78?jg7290/>>k5a298yg75i3:1?7>50z&`g?44?2B9?55Gcd9'66c=::<0(:m524a8m7>=83.9?h4:b:9j3g<72-88i7;m;:mb4?6=,;9n6l=4;|`25<<72=0;6=u+cb8;a>N5;11Coh5+22g9!44m3?o76g<1;29 75b250;&17`5<7s-ih6?=8;I00<>Ndm2.9?h4=379'3f<5=j1b>54?:%00a?3e32c5$31f>0d<3fk;6=4+22g9e6=54;294~"dk32n7E<<8:J`a>"5;l03h6*8c;06g>o503:1(?=j:4f8?l56290/>>k55b98mf2=83.9?h4l5:9le5<72-88i7o<;:a57>=8391<7>t$ba9661<@;937Emj;%00a?44>2.5<#::o19o54o`294?"5;l0j?65rb036>5<3290;w)ml:9g8L75?3Ain7)<?50;&17`<2k21bo94?:%00a?e232ej<7>5$31f>d5<3th:>:4?:283>5}#kj09?:5G22:8Lfc<,;9n6?=9;%5`>73d3`836=4+22g91g=h1<7*=3d86f>=hi90;6)<{e98>1<7:50;2x fe=0l1C>>64Hbg8 75b21n0(:m524a8m7>=83.9?h4:d:9j74<72-88i7;l;:k`0?6=,;9n6n;4;nc3>5<#::o1m>54}c34=?6=;3:1N5;11Coh5+22g9660<,>i1>8m4i3:94?"5;l0>n65f7c83>!44m3?i76an0;29 75b2h907pl>7983>6<729q/on4=369K66><@jo0(?=j:315?!1d2;?h7d<7:18'66c==k10e:l50;&17`<2j21dm=4?:%00a?g432wi=;=50;694?6|,ji14h5G22:8Lfc<,;9n65j4$6a960e=n;80;6)<od<3:1(?=j:b78?jg7290/>>k5a298yg70?3:1?7>50z&`g?44?2B9?55Gcd9'66c=::<0(:m524a8m7>=83.9?h4:b:9j3g<72-88i7;m;:mb4?6=,;9n6l=4;|`227<72=0;6=u+cb8;a>N5;11Coh5+22g9!44m3?o76g<1;29 75b250;&17`5<7s-ih6?=8;I00<>Ndm2.9?h4=379'3f<5=j1b>54?:%00a?3e32c5$31f>0d<3fk;6=4+22g9e6=54;294~"dk32n7E<<8:J`a>"5;l03h6*8c;06g>o503:1(?=j:4f8?l56290/>>k55b98mf2=83.9?h4l5:9le5<72-88i7o<;:a523=8391<7>t$ba9661<@;937Emj;%00a?44>2.5<#::o19o54o`294?"5;l0j?65rb07e>5<3290;w)ml:9g8L75?3Ain7)<?50;&17`<2k21bo94?:%00a?e232ej<7>5$31f>d5<3th:;94?:283>5}#kj09?:5G22:8Lfc<,;9n6?=9;%5`>73d3`836=4+22g91g=h1<7*=3d86f>=hi90;6)<{e9>64Hbg8 75b21n0(:m524a8m7>=83.9?h4:d:9j74<72-88i7;l;:k`0?6=,;9n6n;4;nc3>5<#::o1m>54}c347?6=;3:1N5;11Coh5+22g9660<,>i1>8m4i3:94?"5;l0>n65f7c83>!44m3?i76an0;29 75b2h907pl>5e83>1<729q/on47e:J17==Okl1/>>k58e9'3f<5=j1b>54?:%00a?3c32c8=7>5$31f>0e<3`i?6=4+22g9g0==zj8=96=4<:183!ed2;9<7E<<8:J`a>"5;l09?;5+7b811f=n:10;6)<o0j3:1(?=j:4`8?jg7290/>>k5a298yg72j3:187>50z&`g?>b3A8846Fle:&17`5<#::o19n54ib694?"5;l0h965`a183>!44m3k876sm16394?5=83:p(nm52258L75?3Ain7)<"0k38>o6g=8;29 75b250;&17`5<7s-ih65k4H31;?Meb3-88i76k;%5`>73d3`836=4+22g91a==nk=0;6)<if83:1(?=j:`18?xd6?90;6>4?:1y'gf<5;>1C>>64Hbg8 75b2;9=7)9l:37`?l4?290/>>k55c98m2d=83.9?h4:b:9le5<72-88i7o<;:a501=83>1<7>t$ba9<`=O::20Dnk4$31f>=b<,>i1>8m4i3:94?"5;l0>h65f3083>!44m3?h76gl4;29 75b2j?07bo?:18'66c=i:10qoNdm2.9?h4l7:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j6c<72-88i7;m;:kbb?6=,;9n6n74;ncf>5<#::o1m>54i3594?"5;l09;65fc783>!44m3i=7Emk;:k`7?6=,;9n6n=4Hbf8?le3290/>>k5c`98yg47m3:147>50z&`g?243A8846Fle:&17`j7<4Hc28 2e=:k4?:%00a?3e32cjj7>5$31f>f?<3fkn6=4+22g9e6==nk?0;6)<5$31f>f5<@jn07dm;:18'66c=kh10qoNdm2.9?h4l7:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j6c<72-88i7;m;:kbb?6=,;9n6n74;ncf>5<#::o1m>54i3594?"5;l09;65fc783>!44m3i=7Emk;:k`7?6=,;9n6n=4Hbf8?le3290/>>k5c`98yg47k3:147>50z&`g?243A8846Fle:&17`j7<4Hc28 2e=:k4?:%00a?3e32cjj7>5$31f>f?<3fkn6=4+22g9e6==nk?0;6)<5$31f>f5<@jn07dm;:18'66c=kh10qoNdm2.9?h4l7:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j6c<72-88i7;m;:kbb?6=,;9n6n74;ncf>5<#::o1m>54i3594?"5;l09;65fc783>!44m3i=7Emk;:k`7?6=,;9n6n=4Hbf8?le3290/>>k5c`98yg47i3:147>50z&`g?243A8846Fle:&17`j7<4Hc28 2e=:k4?:%00a?3e32cjj7>5$31f>f?<3fkn6=4+22g9e6==nk?0;6)<5$31f>f5<@jn07dm;:18'66c=kh10qoNdm2.9?h4l7:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j6c<72-88i7;m;:kbb?6=,;9n6n74;ncf>5<#::o1m>54i3594?"5;l09;65fc783>!44m3i=7Emk;:k`7?6=,;9n6n=4Hbf8?le3290/>>k5c`98yg4703:147>50z&`g?243A8846Fle:&17`j7<4Hc28 2e=:k4?:%00a?3e32cjj7>5$31f>f?<3fkn6=4+22g9e6==nk?0;6)<5$31f>f5<@jn07dm;:18'66c=kh10qoNdm2.9?h4l7:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j6c<72-88i7;m;:kbb?6=,;9n6n74;ncf>5<#::o1m>54i3594?"5;l09;65fc783>!44m3i=7Emk;:k`7?6=,;9n6n=4Hbf8?le3290/>>k5c`98yg47>3:147>50z&`g?243A8846Fle:&17`j7<4Hc28 2e=:k4?:%00a?3e32cjj7>5$31f>f?<3fkn6=4+22g9e6==nk?0;6)<5$31f>f5<@jn07dm;:18'66c=kh10qo<=3;29Ndm2.9?h4l7:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j62<72-88i7<8;:k1b?6=,;9n68l4;hce>5<#::o1o454o`g94?"5;l0j?65fc783>!44m3i=7Emk;:k`7?6=,;9n6n=4Hbf8?le3290/>>k5c`98yg45:3:147>50z&`g?233A8846Fle:&17`j7<4Hc28 2e=::4?:%00a?4032c9j7>5$31f>0d<3`km6=4+22g9g<==nk?0;6)<5$31f>f5<@jn07dm;:18'66c=kh10qo<=1;29Ndm2.9?h4l7:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j62<72-88i7<8;:k1b?6=,;9n68l4;hce>5<#::o1o454o`g94?"5;l0j?65fc783>!44m3i=7Emk;:k`7?6=,;9n6n=4Hbf8?le3290/>>k5c`98yg4583:147>50z&`g?233A8846Fle:&17`j7<4Hc28 2e=::4?:%00a?4032c9j7>5$31f>0d<3`km6=4+22g9g<==nk?0;6)<5$31f>f5<@jn07dm;:18'66c=kh10qo<>f;29Ndm2.9?h4l7:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j62<72-88i7<8;:k1b?6=,;9n68l4;hce>5<#::o1o454o`g94?"5;l0j?65fc783>!44m3i=7Emk;:k`7?6=,;9n6n=4Hbf8?le3290/>>k5c`98yg46m3:147>50z&`g?233A8846Fle:&17`j7<4Hc28 2e=::4?:%00a?4032c9j7>5$31f>0d<3`km6=4+22g9g<==nk?0;6)<5$31f>f5<@jn07dm;:18'66c=kh10qo<>d;29Ndm2.9?h4l7:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j62<72-88i7<8;:k1b?6=,;9n68l4;hce>5<#::o1o454o`g94?"5;l0j?65fc783>!44m3i=7Emk;:k`7?6=,;9n6n=4Hbf8?le3290/>>k5c`98yg46k3:147>50z&`g?233A8846Fle:&17`j7<4Hc28 2e=::4?:%00a?4032c9j7>5$31f>0d<3`km6=4+22g9g<==nk?0;6)<5$31f>f5<@jn07dm;:18'66c=kh10qo<>b;29Ndm2.9?h4l7:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j62<72-88i7<8;:k1b?6=,;9n68l4;hce>5<#::o1o454o`g94?"5;l0j?65fc783>!44m3i=7Emk;:k`7?6=,;9n6n=4Hbf8?le3290/>>k5c`98yg46i3:147>50z&`g?233A8846Fle:&17`j7<4Hc28 2e=::4?:%00a?4032c9j7>5$31f>0d<3`km6=4+22g9g<==nk?0;6)<5$31f>f5<@jn07dm;:18'66c=kh10qo?j7;292?6=8r.ho7:=;I00<>Ndm2.9?h4;9:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j62<72-88i7<8;:k1b?6=,;9n68l4;ncf>5<#::o1m>54i`f94?"5;l0h:65f2b83>!44m3i876sm1d494?0=83:p(nm5439K66><@jo0(?=j:5;8 0`=:2Bi<6*8c;06g>o5;3:1(?=j:3c8?l40290/>>k52698m7`=83.9?h4:b:9le`<72-88i7o<;:kb`?6=,;9n6n84;h0`>5<#::o1o>54}c3f1?6=>3:1"2n380Do>4$6a960e=n:>0;6)<o5n3:1(?=j:4`8?jgb290/>>k5a298mdb=83.9?h4l6:9j6f<72-88i7m<;:a5`2=83<1<7>t$ba907=O::20Dnk4$31f>1?<,6Fm0:&4g?42k2c9?7>5$31f>7g<3`8<6=4+22g962==hil0;6)<ofl3:1(?=j:b48?l4d290/>>k5c298yg7b;3:1:7>50z&`g?253A8846Fle:&17`<312.>j7<4Hc28 2e=::4?:%00a?4032c9j7>5$31f>0d<3fkn6=4+22g9e6==n:j0;6)<{e9l81<7850;2x fe=<;1C>>64Hbg8 75b2=30(8h52:Ja4>"0k38>o6g=3;29 75b2;k07d<8:18'66c=:>10e?h50;&17`<2j21dmh4?:%00a?g432cjh7>5$31f>f0<3`8h6=4+22g9g6=56;294~"dk3>97E<<8:J`a>"5;l0?56*:f;08Lg6<,>i1>8m4i3194?"5;l09m65f2683>!44m38<76g=f;29 75b221b>n4?:%00a?e432wi=h>50;494?6|,ji18?5G22:8Lfc<,;9n6974$4d96>Ne82.5<#::o1>:54i3d94?"5;l0>n65`ad83>!44m3k876gnd;29 75b2j<07dNdm2.9?h4;9:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j62<72-88i7<8;:k1b?6=,;9n68l4;ncf>5<#::o1m>54i`f94?"5;l0h:65f2b83>!44m3i876sm1eg94?0=83:p(nm5439K66><@jo0(?=j:5;8 0`=:2Bi<6*8c;06g>o5;3:1(?=j:3c8?l40290/>>k52698m7`=83.9?h4:b:9le`<72-88i7o<;:kb`?6=,;9n6n84;h0`>5<#::o1o>54}c3bb?6=>3:1"2n380Do>4$6a960e=n:>0;6)<o5n3:1(?=j:4`8?jgb290/>>k5a298mdb=83.9?h4l6:9j6f<72-88i7m<;:a5dc=83<1<7>t$ba907=O::20Dnk4$31f>1?<,6Fm0:&4g?42k2c9?7>5$31f>7g<3`8<6=4+22g962==hil0;6)<ofl3:1(?=j:b48?l4d290/>>k5c298yg7fl3:1:7>50z&`g?253A8846Fle:&17`<312.>j7<4Hc28 2e=::4?:%00a?4032c9j7>5$31f>0d<3fkn6=4+22g9e6==n:j0;6)<{e9hi1<7850;2x fe=<;1C>>64Hbg8 75b2=30(8h52:Ja4>"0k38>o6g=3;29 75b2;k07d<8:18'66c=:>10e?h50;&17`<2j21dmh4?:%00a?g432cjh7>5$31f>f0<3`8h6=4+22g9g6=56;294~"dk3>97E<<8:J`a>"5;l0?56*:f;08Lg6<,>i1>8m4i3194?"5;l09m65f2683>!44m38<76g=f;29 75b221b>n4?:%00a?e432wi=lo50;494?6|,ji18?5G22:8Lfc<,;9n6974$4d96>Ne82.5<#::o1>:54i3d94?"5;l0>n65`ad83>!44m3k876gnd;29 75b2j<07dNdm2.9?h4;9:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j62<72-88i7<8;:k1b?6=,;9n68l4;ncf>5<#::o1m>54i`f94?"5;l0h:65f2b83>!44m3i876sm1`:94?0=83:p(nm5439K66><@jo0(?=j:5;8 0`=:2Bi<6*8c;06g>o5;3:1(?=j:3c8?l40290/>>k52698m7`=83.9?h4:b:9le`<72-88i7o<;:kb`?6=,;9n6n84;h0`>5<#::o1o>54}c3b3?6=>3:1"2n380Do>4$6a960e=n:>0;6)<o5n3:1(?=j:4`8?jgb290/>>k5a298mdb=83.9?h4l6:9j6f<72-88i7m<;:a5d0=83<1<7>t$ba907=O::20Dnk4$31f>1?<,6Fm0:&4g?42k2c9?7>5$31f>7g<3`8<6=4+22g962==hil0;6)<ofl3:1(?=j:b48?l4d290/>>k5c298yg7>=3:147>50z&`g?243A8846Fle:&17`j7<4Hc28 2e=:k4?:%00a?3e32cjj7>5$31f>f?<3fkn6=4+22g9e6==nk?0;6)<5$31f>f5<@jn07dm;:18'66c=kh10qo?8f;292?6=8r.ho7:>;I00<>Ndm2.9?h4;9:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j6c<72-88i7;m;:mba?6=,;9n6l=4;h04>5<#::o1>:54i`f94?"5;l0h:65f2b83>!44m3i876smd183>=<729q/on4;3:J17==Okl1/>>k5c69'1c<53Ah;7)9l:37`?l44290/>>k52`98m7`=83.9?h4:b:9jec<72-88i7m6;:mba?6=,;9n6l=4;h04>5<#::o1>:54ib494?"5;l0h:6Fld:9jg6<72-88i7m<;Iag?>od<3:1(?=j:bc8?xd61h0;654?:1y'gf<3;2B9?55Gcd9'66c=k>1/9k4=;I`3?!1d2;?h7d<<:18'66c=:h10e?h50;&17`<2j21bmk4?:%00a?e>32eji7>5$31f>d5<3`8<6=4+22g962=Ndl21bo>4?:%00a?e43Aio76gl4;29 75b2jk07pl=4c83>0<729q/on476:J17==Okl1/>>k5c39'3f<5=j1/9k4k;h75>5<#::o1=n5a22a94>=n=>0;6)<>m52:9j1<<72-88i7?l;o00g?5<3fk;6=4+22g9e6=?7>55;294~"dk32=7E<<8:J`a>"5;l0h>6*8c;06g>"2n390e8850;&17`<6k2d9?n4?;:k63?6=,;9n64=h5;j0976g:9;29 75b28i0b?=l:298kd6=83.9?h4n3:9~f733290>6=4?{%a`>=0<@;937Emj;%00a?e53-=h6?;l;%7e>1gh5;j0;76g:7;29 75b28i0b?=l:098m0>=83.9?h4>c:l17f<532c>57>5$31f>4e54o`294?"5;l0j?65rb376>5<2290;w)ml:948L75?3Ain7)<!44m3;h7c<290/>>k51b9m66e=;21dm=4?:%00a?g432wi>8850;794?6|,ji14;5G22:8Lfc<,;9n6n<4$6a960e<,!44m3;h7c<>k51b9m66e=921b954?:%00a?7d3g88o7<4;h7:>5<#::o1=n5a22a97>=hi90;6)<{e:=31<7;50;2x fe=0?1C>>64Hbg8 75b2j80(:m524a8 0`=l2c>:7>5$31f>4eo203:1(?=j:0a8j75d2;10e8750;&17`<6k2d9?n4<;:mb4?6=,;9n6l=4;|`10d<72<0;6=u+cb8;2>N5;11Coh5+22g9g7=#?j099n5+5g8b?l31290/>>k51b9m66e=821b9:4?:%00a?7d3g88o7?4;h7;>5<#::o1=n5a22a96>=n=00;6)<Ndm2.9?h4l2:&4g?42k2.>j774i4494?"5;l0:o6`=3b83?>o2?3:1(?=j:0a8j75d2810e8650;&17`<6k2d9?n4=;:mb4?6=,;9n6l=4;|`217<72=0;6=u+cb8;0>N5;11Coh5+22g9g7=#?j099n5+5g82f>o2>3:1(?=j:0a8j75d2910e8950;&17`<6k2d9?n4>;:k67==zj8?=6=4::183!ed21<0D?=7;Iaf?!44m3i97)9l:37`?!3a2;<0e8850;&17`<6k2d9?n4?;:k63?6=,;9n64=h5;j0976g:9;29 75b28i0b?=l:298kd6=83.9?h4n3:9~f43629086=4?{%a`>=4<@;937Emj;%00a?e53-=h6?;l;%7e>4>h5;j0;76g:7;29 75b28i0b?=l:098kd6=83.9?h4n3:9~f743290>6=4?{%a`>=0<@;937Emj;%00a?e53-=h6?;l;%7e>2=n=?0;6)<>m51:9j1=<72-88i7?l;o00g?4<3`?26=4+22g95f=i::i1?65`a183>!44m3k876sm18494?3=83:p(nm5879K66><@jo0(?=j:b08 2e=:5=h5;j0:76g:8;29 75b28i0b?=l:398m0?=83.9?h4>c:l17f<432ej<7>5$31f>d5<3th9>i4?:483>5}#kj03:6F=399Kg`=#::o1o?5+7b811f=#=o0?7d;9:18'66c=9j1e>>m50:9j12<72-88i7?l;o00g?7<3`?36=4+22g95f=i::i1>65f5883>!44m3;h7c<>k5a298yg72<3:187>50z&`g?>33A8846Fle:&17`3`?=6=4+22g95f=i::i1<65f5683>!44m3;h7c<>k51b9m66e=:21dm=4?:%00a?g432wih;4?:483>5}#kj03:6F=399Kg`=#::o1o?5+7b811f=#=o0:=6g:6;29 75b28i0b?=l:198m01=83.9?h4>c:l17f<632c>47>5$31f>4eif83:1(?=j:`18?xd5:<0;684?:1y'gf2B9?55Gcd9'66c=k;1/;n4=5b9'1c<43`?=6=4+22g95f=i::i1<65f5683>!44m3;h7c<>k51b9m66e=:21b944?:%00a?7d3g88o7=4;nc3>5<#::o1m>54}c361?6=<3:1"0k38>o6*:f;65?l31290/>>k51b9m66e=821b9:4?:%00a?7d3g88o7?4;h7;>5<#::o1=n5a22a96>=hi90;6)<{e9j91<7;50;2x fe=0?1C>>64Hbg8 75b2j80(:m524a8 0`=m2c>:7>5$31f>4eo203:1(?=j:0a8j75d2;10e8750;&17`<6k2d9?n4<;:mb4?6=,;9n6l=4;|`60?6=:3:1N1:2.=87o<;%5`>73d3`?>6=4+22g91g==zj;9?6=4=:183!ed2h;0D?=7;Iaf?!44m3;n7E8=;%47>d5<,>i1>8m4i4794?"5;l0>n65`a183>!44m3k876smc083>7<729q/on4n1:J17==Okl1/>>k51d9K27=#>=0j?6*8c;06g>o2=3:1(?=j:4`8?jg7290/>>k5a298yge729096=4?{%a`>d7<@;937Emj;%00a?7b3A<97)8;:`18 2e=:5}#kj0j=6F=399Kg`=#::o1=h5G639'215<#::o1m>54}c`f>5<5290;w)ml:`38L75?3Ain7)<=hi90;6)<{ejm0;6?4?:1y'gf"0k38>o6g:5;29 75b25<7s-ih6l?4H31;?Meb3-88i7?j;I41?!032h90(:m524a8m03=83.9?h4:b:9le5<72-88i7o<;:afg<72;0;6=u+cb8b5>N5;11Coh5+22g95`=O>;1/:94n3:&4g?42k2c>97>5$31f>0d<3fk;6=4+22g9e6=d5<,>i1>8m4i4794?"5;l0>n65`a183>!44m3k876sm7`83>7<729q/on4n1:J17==Okl1/>>k51d9K27=#>=0j?6*8c;06g>o2=3:1(?=j:4`8?jg7290/>>k5a298yg1>29096=4?{%a`>d7<@;937Emj;%00a?7b3A<97)8;:`18 2e=:5}#kj0j=6F=399Kg`=#::o1=h5G639'215<#::o1m>54}c54>5<5290;w)ml:`38L75?3Ain7)<=hi90;6)<{e??0;6?4?:1y'gf"0k38>o6g:5;29 75b25<7s-ih6l?4H31;?Meb3-88i7?j;I41?!032h90(:m524a8m03=83.9?h4:b:9le5<72-88i7o<;:a31<72;0;6=u+cb8b5>N5;11Coh5+22g95`=O>;1/:94n3:&4g?42k2c>97>5$31f>0d<3fk;6=4+22g9e6=d5<,>i1>8m4i4794?"5;l0>n65`a183>!44m3k876sm19294?>=83:p(nm5449K66><@jo0(?=j:b58 0`=k2.5<#::o19o54ib694?"5;l0hm65`ad83>!44m3k876g=7;29 75b2;=07dm9:18'66c=k?1Coi54ib194?"5;l0h?6Fld:9jg<<72-88i7m6;Iag?>{e99=1<7=50;2x fe=081C>>64Hbg8 75b2j80(:m524a8 0`=9h1b9;4?:%00a?7d3g88o7>4;nc3>5<#::o1m>54i4594?"5;l0:o6`=3b82?kee2910qo??5;297?6=8r.ho76>;I00<>Ndm2.9?h4l2:&4g?42k2.>j7?n;h75>5<#::o1=n5a22a94>=hi90;6)<o2?3:1(?=j:0a8j75d281eoo4?;:a555=8391<7>t$ba9<4=O::20Dnk4$31f>f4<,>i1>8m4$4d95d=n=?0;6)<;oaa>5=53;294~"dk32:7E<<8:J`a>"5;l0h>6*8c;06g>"2n3;j7d;9:18'66c=9j1e>>m50:9le5<72-88i7o<;:k63?6=,;9n64=ikk0;76smfg83>6<729q/on471:J17==Okl1/>>k5c39'3f<5=j1/9k4>a:k62?6=,;9n65==n=>0;6)<t$ba9<4=O::20Dnk4$31f>f4<,>i1>8m4$4d95d=n=?0;6)<;oaa>5=5<#::o1=n5a22a95>hdj3:07pli9;297?6=8r.ho76>;I00<>Ndm2.9?h4l2:&4g?42k2.>j7?n;h75>5<#::o1=n5a22a94>=hi90;6)<o2?3:1(?=j:0a8j75d281eoo4?;:aa0<72:0;6=u+cb8;5>N5;11Coh5+22g9g7=#?j099n5+5g82e>o2>3:1(?=j:0a8j75d2910cl>50;&17`=zjl91<7=50;2x fe=081C>>64Hbg8 75b2j80(:m524a8 0`=9h1b9;4?:%00a?7d3g88o7>4;nc3>5<#::o1m>54i4594?"5;l0:o6`=3b82?kee2910qok>:180>5<7s-ih65?4H31;?Meb3-88i7m=;%5`>73d3-?m6if83:1(?=j:`18?l30290/>>k51b9m66e=92dhn7>4;|`207<72:0;6=u+cb8;5>N5;11Coh5+22g9g7=#?j099n5+5g82e>o2>3:1(?=j:0a8j75d2910cl>50;&17`=zj8>;6=4<:183!ed21;0D?=7;Iaf?!44m3i97)9l:37`?!3a28k0e8850;&17`<6k2d9?n4?;:mb4?6=,;9n6l=4;h74>5<#::o1=n5a22a95>hdj3:07pl>3d83>6<729q/on471:J17==Okl1/>>k5c39'3f<5=j1/9k4>a:k62?6=,;9n65==n=>0;6)<=7<@;937Emj;%00a?e53-=h6?;l;%7e>4gh5;j0;76an0;29 75b2h907d;8:18'66c=9j1e>>m51:l`f?6<3th:?l4?:283>5}#kj03=6F=399Kg`=#::o1o?5+7b811f=#=o0:m6g:6;29 75b28i0b?=l:198kd6=83.9?h4n3:9j12<72-88i7?l;o00g?75<4290;w)ml:938L75?3Ain7)<c:l17f<732ej<7>5$31f>d5<3`?<6=4+22g95f=i::i1=6`lb;28?xd6;?0;6>4?:1y'gf:7>5$31f>4e!44m3;h7c<5<7s-ih65?4H31;?Meb3-88i7m=;%5`>73d3-?m6if83:1(?=j:`18?l30290/>>k51b9m66e=92dhn7>4;|`261<72:0;6=u+cb8;5>N5;11Coh5+22g9g7=#?j099n5+5g82e>o2>3:1(?=j:0a8j75d2910cl>50;&17`=zj8896=4<:183!ed21;0D?=7;Iaf?!44m3i97)9l:37`?!3a28k0e8850;&17`<6k2d9?n4?;:mb4?6=,;9n6l=4;h74>5<#::o1=n5a22a95>hdj3:07pl>2183>6<729q/on471:J17==Okl1/>>k5c39'3f<5=j1/9k4>a:k62?6=,;9n65==n=>0;6)<=7<@;937Emj;%00a?e53-=h6?;l;%7e>4gh5;j0;76an0;29 75b2h907d;8:18'66c=9j1e>>m51:l`f?6<3th:=n4?:283>5}#kj03=6F=399Kg`=#::o1o?5+7b811f=#=o0:m6g:6;29 75b28i0b?=l:198kd6=83.9?h4n3:9j12<72-88i7?l;o00g?75<4290;w)ml:938L75?3Ain7)<c:l17f<732ej<7>5$31f>d5<3`?<6=4+22g95f=i::i1=6`lb;28?xd6910;6>4?:1y'gf:7>5$31f>4e!44m3;h7c<5<7s-ih65?4H31;?Meb3-88i7m=;%5`>73d3-?m6if83:1(?=j:`18?l30290/>>k51b9m66e=92dhn7>4;|`225<72:0;6=u+cb8;5>N5;11Coh5+22g9g7=#?j099n5+5g82e>o2>3:1(?=j:0a8j75d2910cl>50;&17`=zj8?h6=4<:183!ed21;0D?=7;Iaf?!44m3i97)9l:37`?!3a28k0e8850;&17`<6k2d9?n4?;:mb4?6=,;9n6l=4;h74>5<#::o1=n5a22a95>hdj3:07pl>5`83>6<729q/on471:J17==Okl1/>>k5c39'3f<5=j1/9k4>a:k62?6=,;9n65==n=>0;6)<=7<@;937Emj;%00a?e53-=h6?;l;%7e>4gh5;j0;76an0;29 75b2h907d;8:18'66c=9j1e>>m51:l`f?6<3th:8k4?:283>5}#kj03=6F=399Kg`=#::o1o?5+7b811f=#=o0:m6g:6;29 75b28i0b?=l:198kd6=83.9?h4n3:9j12<72-88i7?l;o00g?75<4290;w)ml:938L75?3Ain7)<c:l17f<732ej<7>5$31f>d5<3`?<6=4+22g95f=i::i1=6`lb;28?xd61k0;684?:1y'gf2B9?55Gcd9'66c=k;1/;n4=5b9'1c<682c>:7>5$31f>4eo203:1(?=j:0a8j75d2;10e8750;&17`<6k2d9?n4<;:mb4?6=,;9n6l=4;|`2ga<72<0;6=u+cb8;2>N5;11Coh5+22g9g7=#?j099n5+5g802>o2>3:1(?=j:0a8j75d2910e8950;&17`<6k2d9?n4>;:k67=h5;j0876an0;29 75b2h907pl>d283>0<729q/on476:J17==Okl1/>>k5c39'3f<5=j1/9k4<;h75>5<#::o1=n5a22a94>=n=>0;6)<>m52:9j1<<72-88i7?l;o00g?5<3fk;6=4+22g9e6=4;h74>5<#::o1=n5a22a95>=n=10;6)<>m53:9le5<72-88i7o<;:a`2<72<0;6=u+cb8;2>N5;11Coh5+22g9g7=#?j099n5+5g80?l31290/>>k51b9m66e=821b9:4?:%00a?7d3g88o7?4;h7;>5<#::o1=n5a22a96>=n=00;6)<Ndm2.9?h4l2:&4g?42k2.>j7?<;h75>5<#::o1=n5a22a94>=n=>0;6)<>m52:9j1<<72-88i7?l;o00g?5<3fk;6=4+22g9e6=55;294~"dk32=7E<<8:J`a>"5;l0h>6*8c;06g>"2n3;?7d;9:18'66c=9j1e>>m50:9j12<72-88i7?l;o00g?7<3`?36=4+22g95f=i::i1>65f5883>!44m3;h7c<>k5a298yg7dm3:197>50z&`g?>13A8846Fle:&17`!44m3;h7c<>k51b9m66e=:21b944?:%00a?7d3g88o7=4;nc3>5<#::o1m>54}cf6>5<3290;w)ml:968L75?3Ain7)<c:l17f<732c>;7>5$31f>4eif83:1(?=j:`18?xd5;80;684?:1y'gf2B9?55Gcd9'66c=k;1/;n4=5b9'1c<13`?=6=4+22g95f=i::i1<65f5683>!44m3;h7c<>k51b9m66e=:21b944?:%00a?7d3g88o7=4;nc3>5<#::o1m>54}c06"0k38>o6*:f;1e?l31290/>>k51b9m66e=821b9:4?:%00a?7d3g88o7?4;h7;>5<#::o1=n5a22a96>=hi90;6)<{e9j=1<7;50;2x fe=0?1C>>64Hbg8 75b2j80(:m524a8 0`=;<1b9;4?:%00a?7d3g88o7>4;h74>5<#::o1=n5a22a95>=n=10;6)<>m53:9le5<72-88i7o<;:a5f>=83?1<7>t$ba9<3=O::20Dnk4$31f>f4<,>i1>8m4$4d970=n=?0;6)<>m51:9j1=<72-88i7?l;o00g?4<3`?26=4+22g95f=i::i1?65`a183>!44m3k876sm2c83>7<52:q/on4=0:J17==Okl1/;n4=5b9j10<722ej<7>5;c7b>5<5290;w)ml:4c8 75b2;30(;:55c9K27=#?j099n5f5483>!44m3?i76an0;29 75b2h907pl>f;296?6=8r.ho7?i;%00a?4>3-=h6?;l;h76>5<#::o19o54o`294?"5;l0j?65rs4794?4|V4=0d9e5=z{96srb02:>5<5290;w)ml:728L75?3Ain7)<50;&17`5<5290;w)ml:728L75?3Ain7)<50;&17`"0k38>o6g:5;29 75b2>j4;|`263<72;0;6=u+cb854>N5;11Coh5+22g95`=#?j099n5f5483>!44m3?i76an0;29 75b2h90D?=k;:a53`=8381<7>t$ba925=O::20Dnk4$31f>4c<,>i1>8m4i4794?"5;l0>n65`a183>!44m3k87E<36<@;937Emj;%00a?7b3-=h6?;l;h76>5<#::o19o54o`294?"5;l0j?6F=3e98yg71j3:1>7>50z&`g?073A8846Fle:&17`<6m2.5<#::o1m>5G22f8?xd6>00;6?4?:1y'gf<182B9?55Gcd9'66c=9l1/;n4=5b9j10<72-88i7;m;:mb4?6=,;9n6l=4H31g?>{e9?=1<7<50;2x fe=>91C>>64Hbg8 75b28o0(:m524a8m03=83.9?h4:b:9le5<72-88i7o<;I00`>=zj8<>6=4=:183!ed2?:0D?=7;Iaf?!44m3;n7)9l:37`?l32290/>>k55c98kd6=83.9?h4n3:J17a=<7>52;294~"dk3<;7E<<8:J`a>"5;l0:i6*8c;06g>o2=3:1(?=j:4`8?jg7290/>>k5a29K66b<3th98i4?:483>5}#kj03:6F=399Kg`=#::o1o?5+7b811f=#=o08o6g:6;29 75b28i0b?=l:198m01=83.9?h4>c:l17f<632c>47>5$31f>4eif83:1(?=j:`18?xd55<#::o1o854o`294?"5;l0j?65rb373>5<2290;w)ml:948L75?3Ain7)<!44m3;h7c<290/>>k51b9m66e=;21dm=4?:%00a?g432wi>8?50;694?6|,ji14k5G22:8Lfc<,;9n65j4$6a960e=n=>0;6)<od<3:1(?=j:b78?jg7290/>>k5a298ygbd290>6=4?{%a`>=0<@;937Emj;%00a?e53-=h6?;l;%7e>72h5;j0;76g:7;29 75b28i0b?=l:098m0>=83.9?h4>c:l17f<532c>57>5$31f>4e54o`294?"5;l0j?65rbef94?3=83:p(nm5879K66><@jo0(?=j:b08 2e=:!44m3;h7c<290/>>k51b9m66e=;21dm=4?:%00a?g432wihh4?:583>5}#kj03j6F=399Kg`=#::o14i5+7b811f=n=?0;6)<o2?3:1(?=j:4f8?le3290/>>k5c498kd6=83.9?h4n3:9~f74f29096=4?{%00a?7b3-ih6:h4Hbg8m03=83.9?h4:b:9le5<72-88i7o<;:a67?=83>1<7>t$31f>f4<@jo0D?=7;%5`>73d3-ih65;4$4d97c=n=?0;6)<>m51:9j1=<72-88i7?l;o00g?4<3fk;6=4+22g9e6=52;294~"5;l0:i6*lc;5e?Meb3`?>6=4+22g91g==zj83<6=4;:183!44m3i97Emj;I00<>"0k38>o6*lc;:6?!3a2=<0e8850;&17`<6k2d9?n4?;:k63?6=,;9n64=h5;j0976an0;29 75b2h907pl=2g83>7<729q/>>k51d9'gf<0n2Bhi6g:5;29 75b2N5;11/;n4=5b9'gfj784i4494?"5;l0:o6`=3b83?>o2?3:1(?=j:0a8j75d2810e8650;&17`<6k2d9?n4=;:k6=?6=,;9n66==zj;8<6=4=:183!44m3;n7)ml:6d8Lfc=hi90;6)<{e:;<1<7=50;2x 75b2j80Dnk4H31;?!1d2;?h7)ml:918 0`=;=1b9;4?:%00a?7d3g88o7>4;h74>5<#::o1=n5a22a95>=hi90;6)<{e9j;1<7<50;2x 75b28o0(nm57g9Kg`=n=<0;6)<if83:1(?=j:`18?xd6k90;694?:1y'66c=k;1Coh5G22:8 2e=:2c>:7>5$31f>4eo203:1(?=j:0a8j75d2;10cl>50;&17`5<7s-88i7?j;%a`>2`<@jo0e8;50;&17`<2j21dm=4?:%00a?g432wi=n:50;794?6|,;9n6n<4Hbg8L75?3-=h6?;l;%a`>=1<,!44m3;h7c<>k51b9m66e=921b954?:%00a?7d3g88o7<4;h7:>5<#::o1=n5a22a97>=hi90;6)<{e9jh1<7<50;2x 75b28o0(nm57g9Kg`=n=<0;6)<if83:1(?=j:`18?xd6kh0;684?:1y'66c=k;1Coh5G22:8 2e=::7>5$31f>4eo203:1(?=j:0a8j75d2;10e8750;&17`<6k2d9?n4<;:mb4?6=,;9n6l=4;|`2`5<72;0;6=u+22g95`=#kj05<#::o1m>54}c3`b?6==3:1Ndm2B9?55+7b811f=#kj0346*:f;64?l31290/>>k51b9m66e=821b9:4?:%00a?7d3g88o7?4;h7;>5<#::o1=n5a22a96>=n=00;6)<5<7s-88i7?j;%a`>2`<@jo0e8;50;&17`<2j21dm=4?:%00a?g432wih?4?:483>5}#::o1o?5Gcd9K66><,>i1>8m4$ba9<==#=o087d;9:18'66c=9j1e>>m50:9j12<72-88i7?l;o00g?7<3`?36=4+22g95f=i::i1>65f5883>!44m3;h7c<>k5a298yg4f290:6=4?{%00a?g>3Ain7E;j;%47>0d<,>o14n5fa883>!44m3k276sm5283>4<729q/>>k5a89Kg`=O=l1/:94n3:&4a?013-<86574n219<>hd03?;7bo6:18'66c=i010qo8n:182>5<7s-88i7o6;Iaf?M3b3-{e>k0;6<4?:1y'66c=i01Coh5G5d9'215<#::o1m454}c4`>5<6290;w)<32wi:i4?:083>5}#::o1m45Gcd9K1`=#>=0j?6*8e;c4?jg>290/>>k5a898yg0b290:6=4?{%00a?g>3Ain7E;j;%47>d5<,>o15n5`a883>!44m3k276sm6g83>4<729q/>>k5a89Kg`=O=l1/:94n3:&4a??e3fk26=4+22g9e<=:183!44m3k27Emj;I7f?!032h90(:k5a79le<<72-88i7o6;:a37<7280;6=u+22g9e<=Okl1C9h5+658b7>"0m3>o7bo6:18'66c=i010qol>:182>5<7s-88i7o6;Iaf?M3b3-:0356`<3;:8jf>==91dm44?:%00a?g>32win?4?:083>5}#::o1m45Gcd9K1`=#>=0j?6*8e;46?!042130b>=58:l`:183!44m3k27Emj;I7f?!032h90(:k53d9'26{ej=0;6<4?:1y'66c=i01Coh5G5d9'21=?290/>>k5a898ygd2290:6=4?{%00a?g>3Ain7E;j;%47>d5<,>o18k5+628;=>h4;320bn65519le<<72-88i7o6;:af3<7280;6=u+22g9e<=Okl1C9h5+658b7>"0m38>7)8<:9;8j65=02dh47;?;nc:>5<#::o1m454}c`4>5<6290;w)<479:l07?>!44m3k276smb883>4<729q/>>k5a89Kg`=O=l1/:94n3:&4a?5c3-<86574n219<>hd03?;7bo6:18'66c=i010qo<<3;295?6=8r.9?h4n9:J`a>N2m2.=87o<;%5f>31<,?91445a328;?ke?2<:0cl750;&17`{t:k0;6?kt=0;b>75<5m:1>>5216d966=:90?1>>521`4966=:9h=1>>521`:966=:9h31>>521`c966=:9hh1>>521`a966=:9hn1>>521`g966=:9hl1>>521eg966=:9ml1>>521d2966=:9l;1>>521d0966=:9l91>>521d6966=:9l?1>>521d4966=:9l=1>>5220c966=::8h1>>5220a966=::8n1>>5220g966=::8l1>>52232966=::;;1>>52230966=::;91>>52214966=::9=1>>5221:966=::931>>5221c966=::9h1>>5221a966=::9n1>>5221g966=::9l1>>52192966=::k0j<6s|5283>6}Y=:16994n0:?67?g>3ty=m7>53z\5e>;0;3k;708n:`;8yv0e2908wS8m;<57>d6<5?h1m45rs7a94?5|V?i01:;5a19>2f5<4sW50;1xZ26<5>31m=52718b=>{t?;0;6>uQ739>3d7o6;|q:6?6=;>q6>>754b9>501=;816=875309>50d=;816=8h5309>542=:116=?95299>543=;816=<95309>54?=;816=54b=;816=577=;816=?=5309>564=:116=9;5299>565=;816=>;5309>561=;816=>75309>56d=;816=>j5309>56`=;816=9?5309>`c<5027nh7<7;67<5l81?<52e5805>;b>39:70k8:2389`>=;816i44<1:?fe?5634l<6?64=02b>7><5o21?<52f`805>;ak39:70hj:23894672:;01<>=:23894632:;01<>9:23892g==<16;44:5:?496384;76?8142<3:1jv3=3`8b0>;61h09j63k0;0e?87>=38m70?:7;0;?870838370?:d;12?872m39:70?91;12?871:39:70?93;12?876<39:70?<2;12?8ba2:;01k95309~w<3=838p1i65a19>`2<212wx5;4?:3y>5f?==016=nl5a19~w<1=838p1>3?370?68;c3?xu>l3:1>v3>ce8b4>;6kl0>46s|9d83>7}:9m91m=521b6912=z{k;1<7=t^c389gg=i916n<4n9:pf7<72:qUn?52bc8b4>;e:3k27p}m3;297~Xe;27io7o?;<`0>d?6}Yj?16nk4n0:?a2?g>3tyi;7>53z\a3>;d83k;70l8:`;8yvd>2908wSl6;d6<5k31m45rse294?4|5m:1mh5255861>{tl80;6?u2d18bb>;c?3k;7p}k2;296~;c03?270j<:`28yvb12909w0j9:`289a>==11vi750;1x94>72;l01<7n:`d894?e2h:0q~jn:181870n38m70jj:`28yvbe2909w0jl:`289ac==>1vim50;0x9ab=i916hh4:6:p`c<72:q6hk4n0:?f4?4?34on6?64}rg3>5<4s4o;6l>4=d096==:mo0946s|e083>6}:m80j<63j0;a7?8cb2>h0q~k=:1808c52h:01h:5299>b5<502wxi>4?:2y>a67m;;2dd6<5l<1>552f081<>{tm<0;6>u2e48b4>;b<3i?70h?:6`8yvc12908w0k9:`289`1=:116j?4=8:pa2<72:q6i:4n0:?f5<4s4o36l>4=d;96==:n=0946s|e883>6}:m00j<63ja;0;?8`22;20q~kn:1818cf2h:01k85299~w`d=839p1ih5c59>aa<0j27no7o?;|qe3?6=;r7m;7o?;7><58:i6?64}rd;>5<4s4l36l>4=gc96==:99i1>55rsg;94?5|5o31m=52f98`0>;68k06}:nh0j<63ic;0;?877l3837p}ib;297~;aj3k;70hn:b68946d2>h0q~hl:1808`d2h:01kk5299>55c=:11vkj50;1x9cb=i916jn4l4:?24a<0j2wxjh4?:2y>b`bc556=i916==<5299>546=:11v<>>:18087793k;70??0;a7?877n3=i7p}>0383>6}:9981m=5211696==:98;1>55rs020>5<4s4;;?7o?;<336?e334;:<79m;|q241<72:q6==:5a19>550=:116=<<5299~w4622908w0??5;c3?877<3i?70?>1;5a?xu68?0;6?u21149e5=:9891>55rs024>5<4s4;;;7o?;<332?e334;:>79m;|q24=<72:q6j:4l4:?24d<0j27:<44n0:p542=839p1148b4>;69>09463>2881<>{t98<1<7=t=035>d6<58;>6n:4=00;>2d53z?2522>h0q~?>9;297~;6900j<63>1c81<>;6:k0946s|10c94?5|58;j6l>4=03:>f2<588j6:l4}r32f?6=;r7:=o4n0:?25a<5027:>n4=8:p54e=839p101<1e8b4>;69o09463>2e81<>{t98o1<7=t=03f>d6<58;o6n:4=00`>2d53z?25c<4=8:?26`<502wx=?>50;1x94472h:01h0q~?=1;297~;6:80j<63>2281<>;6:o0946s|13094?5|58896l>4=002>f2<588n6:l4}r317?6=:r7:>>4n0:?275<502wx=?:50;1x94432h:01<<<:b68944a2>h0q~?=5;297~;69=0h863>2684f>;6:?0j<6s|12394?4|589:6l>4=013>2d7>53z?2774=8:?203<502wx=>=50;1x94542h:01<=::3:894202;20q~?<4;297~;6;=0j<63>328`0>;66l>4=014>7><58>36?64}r302?6=;r7:?;4n0:?2702;201<:6:3:8yv7403:1?v3>398b4>;6;>0h863>4984f>{t9:31<7=t=01:>d6<589i6?64=06b>7>53z?27dl50;1x945e2h:01<=k:3:8942e2;20q~?3c8`0>;64=01e>7><58>h6?64}r30a?6=;r7:?h4n0:?27a418b4>;6;o0h863>4b84f>{t9=;1<7d6<58>n6?64}r376?6=;r7:8?4n0:?204h01<:;:`28yv73n3:1>v3>4g8b4>;64=07:>7><58=:6?64}r365`8b4>;6=00h863>7084f>{t9d6<58?o6?64=050>7>o7>53z?21f5g81<>;6?<0946s|14d94?5|58?m6l>4=042>7><58==6?64}r354?6=;r7::=4n0:?21c:`2894052;201<98:3:8yv71:3:1?v3>638b4>;6>:09463>7981<>{t9?91<7d6<58=26?64}r350?6=;r7:9i4l4:?236<0j27::84n0:p530=839p1<;j:b6894132>h01<88:`28yv7103:1?v3>608`0>;6??0688b4>{t9?k1<7=t=041>f2<58=<6:l4=04a>d653z?2262>h01<8i:`28yv70i3:1?v3>5786=>;6==0>463>548b4>{t9>h1<7:t=306>d6<5;8?6874=0;5>0?<58i?6874}r34g?6=:r7o:7;6;<3`5?g73ty:;i4?:5y>ff<2=27ih7;:;<34b?gb34hn68;4}r34a?6=>r7im7;:;<`a>03<5kl19852c1861>;d93?>70?70;cf?xu6080;6?u220c96c=:9=?1m=5rs0:1>5<5s48;:74?:3y>64d=:o16=985a19~w4>32909w08483>7}::8i1>k521559e5=z{82=6=4={<0351>=i91v<67:181847138m70?=a;c3?xu6000;6?u220g96c=:9=31m=5rs0:b>5<5s48;m764`=:o16=9o5a19~w4>d2909w08e83>7}::;:1>k5215`9e5=z{82n6=4={<03g?4a34;9h7o?;|q2??52g9>51e=i91v<7?:181847l38m70?=e;c3?xu6180;6?u223096c=:9=n1m=5rs0;1>5<5s48;i74?:3y>675=:o16=9k5a19~w4?32909w09483>7}:90?1mh52226910=z{83=6=4={<3:1?ga34;2:7o?;|q2=d<72:q6>9954b9>610=9e83>7}:9h=1mh5225;91<=z{83n6=4<{<3b5d?=il16>975569>61b==>1va083>6}:9hh1mh5225c91==::=n1955rs0c1>5<4s4;jo7oj;<07e?31348?i7m;;|q2e6<72;q6=lj5ad9>606==11v5<5s4;j:7d652z?2e2<5n27ni7o?;|q2f7<72;q6=l652g9>ac2;l01k>5a19~w4d32909w0?na;0e?8`62h:0q~?m5;296~;6ik09j63i2;c3?xu6j?0;6?u21`a96c=:n:0j<6s|1c594?4|58ko6?h4=g69e5=z{8h36=4={<3ba?4a34l>6l>4}r3a=?6=:r7:mk4=f:?e2?g73ty:nl4?:2y>a3a279m;<365?g73ty:nn4?:2y>a=a<adb3<0j27:9;4n0:p5f5=838p1563kc;7;?8bc2<3013:1nv3>c68b4>;6i?09;63>a6813>;6i109;63>a8813>;6ih09;63>ac813>;6ij09;63>ae813>;6il09;63>ag813>{t9j=1<7lt=0a;>d6<58nn6?94=0fe>71<58o;6?94=0g2>71<58o96?94=0g0>71<58o?6?94=0g6>71<58o=6?94=0g4>7156z?2=g<2?27:o44n0:?gg?3>34no6864=0a4>0><58i36864}r3`a?6==r7:5o4:8:?2g`?;5589>671=i91v5<4s4;n<7oj;<07f?31348>87;7;|q2`2<72:q6=h?5ad9>61d==>16>8:5569~w4b?2908w0?j2;cf?842;3?270<:4;75?xu6l00;6>u21d19e`=::<91955224691<=z{8nj6=4<{<3f0?gb348>?7;8;<061?313ty:ho4?:2y>5`3=il16>8=5579>603==01v3kn70<:5;74?842>3?<7p}>de83>6}:9l=1mh5224091==::<21955rs0g;>5<5s4;oi75a`=:o16==l5a19~w4cf2909w0?j0;0e?877k3k;7p}>ec83>7}:9l;1>k5211f9e5=z{8oh6=4={<3f6?4a34;;i7o?;|q2aa<72;q6=h=52g9>55`=i91v0;c3?xu6mo0;6?u21d796c=:98;1m=5rs0d3>5<5s4;n:75`1=:o16=<=5a19~w4`52909w0?80;c3?87713?>7p}>f283>7}:9>;1m=52f8862>{t9o>1<7d6<5oh19;5rs0d6>5<5s4;0052z?231557==?1v3k;70??3;75?xu6n00;6?u21659e5=:99?19;5rs0db>5<5s4;<47o?;<333?313ty:jo4?:3y>52?=i916=<=57c9~w4`d290=w0:4589`e==<16=?85549>`7<2>2wx=kj50;5x97602ho01h?5579>540==?16>>?5589>5f6==?16=nh5579>`7<2?2wx=kk50;:x976?2ho01?5f6==>16=no5569>5f`==116h?4:8:p5c`=832p1?>6:`g8974c2<201h;5579>54g==?16h84:6:?2g5<2027:ol4:6:?2gc<2?2wx>=>50;ax976f2ho01<;=:45894362<=01?54e==?16=8=5589>506==<16h84:8:?2gd<2127:ok4:9:p657=83hp1?>m:`g894352<201<;>:448974c2<<01<;::448947b2<<01<;<:4589a3==>16=nj5589>`=<2?27:o44:8:p654=83kp1?>l:`g894352<<01i85569>503==>16=?>5579>505==116=nj5599>`=<2>27:o44:7:?16`<212wx>==50;cx976c2ho01<;9:44894332<<01<<=:44894ec2<=01i95579>505==?16=nk5569>67c==>16=no5599~w763290iw03?370?:4;74?875<3?=70?6b;75?8b02<=01`a<2?279>h4:8:p653=832p1?>i:`g894312<=01<=>:4489a1==116=nk5579>5fb==?16=n75579>67c==?1v???:185846i3kn70?l3;7;?87203?=70?;4;76?845<3?=70?66;75?xu5980;6;u220`9e`=::;>19:52184912=:9j919452126913=:95<1s48:o7oj;<3`7?3134;8:7;9;<36g?31348957;7;<3:3?313ty9=>4?:7y>64b=il16=n=5569>56>==?16=;;5549>67?==?16=495569~w773290?w0<>e;cf?845=3?<70?7p}=1483>0}::8l1mh5212a913=:9?:19;521e1912=::;<19:5rs335>5<2s489<7oj;<30a?3134;o?7;9;<35=?323489:7;9;|q152<72??5ad9>673==116=9>5579>5a5==116=;l5549~w77?290>w0<=2;cf?845=3?=70?;2;75?87c;3?270?9d;76?xu5900;6;u22319e`=:9=l19;5217d910=::;319:5218591==:9j>19;5rs307>57oi;<017?ga348987o?;|q16f<728?p1??n:358977e2;=01??l:358977c2;=01??j:358977a2;=01?9:`d897602hl01?>7:`d8976>2hl01?>n:`d8976e2hl01?>l:`d8976c2hl01?>j:`d8976a2hl01?=>:`28yv45l3:1>v3=2e8b4>;5;80>46s|23g94?4|5;9:6884=30e>d653z\176=:::>1m=522219e<=z{;>?6=4<{<072?gb34nh6894=ef913=z{;>>6=4={<073?gb34;3<7m;;|q10=<72;q6>9952g9>604=i91v?:6:18184313k;70<;a;7:?xu55<5s48?n7o?;<062?313ty98n4?:3y>61c=i916>8>5589~w72c2909w0<;d;c3?843m3?=7p}=4g83>6}::<819;5224:913=::<;1m=5rs373>5<5s48><7o?;<065?313ty99>4?:3y>605=i916>885599~w7332909w0<:4;c3?842=3?37p}=5483>7}::>7;8;<06610=:o16>865a19~w74e2909w0<=9;c3?845i3?>7p}>9883>7}:90=1m=5218:910=z{;9;6=4={<01a?g73489j7;:;|q16=<72;q6>?85a19>671==<1v5<5s4;hj7o?;<3g4?323tyo87>52z?g6?g734n868;4}|l63f<72;qCoh5Fa88244}0m3wvb86?:182Meb3@k26o1qp`:8383>4}Okl1Bm44>{38~^1d=9r=n6psa59194?7|@jo0El751z09y_2e28q5<6sAin7Do6:0y1>x\3j3;p;h4r}o7;1?6=9rBhi6Gn9;3x6?{]51zJ`a>{i=1n1<7?tHbg8yk3?m3:1=vFle:m1=`=83;pDnk4}o7:4?6=9rBhi6sa58394?7|@jo0qc;62;295~Ndm2Cj57?t2;Y0g<3s>o1:>4<3;a;>x{i=091<7?tHbg8Md?=9r81qW:m:5y4a?042:91o54r}o7:0?6=9rBhi6Gn9;3x6?{]1}0m3<86>=5c98~yk3>>3:1=vFle:Kb=?7|:3wQ8o4;{6g926<4;3i36psa58594?7|@jo0El751z09y_2e2=q51zJ`a>Of13;p>7sU4c872c=>:08?7m7:|m1i69u8e;40>65=k10vqc;6a;295~Ndm2we94l50;3xLfc51zJ`a>{i=0n1<7?tHbg8yk3>m3:1=vFle:m1<`=83;pDnk4}o7b4?6=9rBhi6sa5`394?7|@jo0qc;n2;295~Ndm2we9l=50;3xLfc51zJ`a>{i=h?1<7?tHbg8yk3f>3:1=vFle:m1d1=83;pDnk4}o7b51zJ`a>{i=hn1<7?tHbg8yk3fm3:1=vFle:m1d`=83;pDnk4}o7a4?6=9rBhi6sa5c394?7|@jo0qc;m2;295~Ndm2we9o=50;3xLfc51zJ`a>{i=k?1<7?tHbg8yk3e>3:1=vFle:m1g1=83;pDnk4}o7a51zJ`a>{i=kn1<7?tHbg8yk3em3:1=vFle:m1g`=83;pDnk4}o7`4?6=9rBhi6sa5b394?7|@jo0qc;l2;295~Ndm2we9n=50;3xLfc51zJ`a>{i=j?1<7?tHbg8yk3d>3:1=vFle:m1f1=83;pDnk4}o7`51zJ`a>{i=jn1<7?tHbg8yk3dm3:1=vFle:m1f`=83;pDnk4}o7g4?6=9rBhi6sa5e394?7|@jo0qc;k2;295~Ndm2we9i=50;3xLfc51zJ`a>{i=m?1<7?tHbg8yk3c>3:1=vFle:m1a1=83;pDnk4}o7g51zJ`a>{i=mn1<7?tHbg8yk3cm3:1=vFle:m1a`=83;pDnk4}o7f4?6=9rBhi6sa5d394?7|@jo0qc;j2;295~Ndm2we9h=50;3xLfc51zJ`a>{i=l?1<7?tHbg8yk3b>3:1=vFle:m1`1=83;pDnk4}o7f51zJ`a>{i=ln1<7?tHbg8yk3bm3:1=vFle:m1``=83;pDnk4}o7e4?6=9rBhi6sa5g394?7|@jo0qc;i2;295~Ndm2we9k=50;3xLfc51zJ`a>{i=o?1<7?tHbg8yk3a>3:1=vFle:m1c1=83;pDnk4}o7e51zJ`a>{i=on1<7?tHbg8yk3am3:1=vFle:m1c`=83;pDnk4}o434?6=9rBhi6sa61394?7|@jo0qc8?2;295~Ndm2we:==50;3xLfc51zJ`a>{i>9?1<7?tHbg8yk07>3:1=vFle:m251=83;pDnk4}o4351zJ`a>{i>9n1<7?tHbg8yk07m3:1=vFle:m25`=83;pDnk4}o424?6=9rBhi6sa60394?7|@jo0qc8>2;295~Ndm2we:<=50;3xLfc51zJ`a>{i>8?1<7?tHbg8yk06>3:1=vFle:m241=83;pDnk4}o42a;295~Ndm2we:51zJ`a>{i>8n1<7?tHbg8yk06m3:1=vFle:m24`=83;pDnk4}o414?6=9rBhi6sa63394?7|@jo0qc8=2;295~Ndm2we:?=50;3xLfc51zJ`a>{i>;?1<7?tHbg8yk05>3:1=vFle:m271=83;pDnk4}o4151zJ`a>{i>;n1<7?tHbg8yk05m3:1=vFle:m27`=83;pDnk4}o404?6=9rBhi6sa62394?7|@jo0qc8<2;295~Ndm2we:>=50;3xLfc51zJ`a>{i>:?1<7?tHbg8yk04>3:1=vFle:m261=83;pDnk4}o40l50;3xLfc51zJ`a>{i>:n1<7?tHbg8yk04m3:1=vFle:m26`=83;pDnk4}o474?6=9rBhi6sa65394?7|@jo0qc8;2;295~Ndm2we:9=50;3xLfc51zJ`a>{i>=?1<7?tHbg8yk03>3:1=vFle:m211=83;pDnk4}o4751zJ`a>{i>=n1<7?tHbg8yk03m3:1=vFle:m21`=83;pDnk4}o464?6=9rBhi6sa64394?7|@jo0qc8:2;295~Ndm2we:8=50;3xLfc87>51zJ`a>{i>3:1=vFle:m201=83;pDnk4}o46o7>51zJ`a>{i>51zJ`a>{i>??1<7?tHbg8yk01>3:1=vFle:m231=83;pDnk4}o4551zJ`a>{i>?n1<7?tHbg8Md?=9r81qW:m:5y4a?042:91o54r}o45a?6=9rBhi6sa67d94?7|@jo0qc880;295~Ndm2we::?50;3xLfc7>51zJ`a>{i>>91<7?tHbg8yk00<3:1=vFle:m223=83;pDnk4}o442?6=9rBhi6sa66594?7|@jo0qc888;295~Ndm2we::750;3xLfc51zJ`a>{i>>h1<7?tHbg8yk00k3:1=vFle:m0f7=83;pqc88d;295~{i>>o1<7?t}o44b?6=9rwe:5>50;3xyk0?93:1=vsa69094?7|ug<3?7>51zm2=2=83;pqpsr@AAx3=`=kk<9nnlk}ABA5{GHYqvLM \ No newline at end of file diff --git a/main.ngr b/main.ngr new file mode 100644 index 0000000..37278f1 --- /dev/null +++ b/main.ngr @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$0a54=792;=7<>?012b?46789:;=>0123<>7788:;==74112244778?1:<=?>1892446688:;=>5>0;KMTPR=Lh~jM1750?33?46=G\^[YY4^<883:43<990DYY^ZT;Pfwpjs400;2<>4118LQQVR\3R757>111924?IR\Y__6T26:1<:?46=P531<3?>;029\Zgcl9:;<1750?37?46=PVkoh~{m01238<<7601:<7W39;2=54=683STmij?012?=?699=1:<7WPaefpqg6789626=0k;029ljtnr531<3m4118uawr;13:556??:y>:>58>38:1u1750?08541<98:;<=?n;033457799:37;2f87v428li{=><"50975>363?;0;557:E>4>58b310BB][[:E>4>5869221EC^ZT;KQCG:0294i754FRF@?3?69i221@HJ37;2=54=?2F__\XZ5LDF?3?69n221CXZ_UU8R82<768;047AZTQWW>WAE4>0;2557:P>4>58f310YKO28:1<`?=068GIL=<0MCJ;B048EKB3J;<0MCJ;B578Efere11IY^QFNGM2?F723J0$j}in/qmmc*kfag$}di?;;B8,buaf'yeek"xha/tk`7=DM:1H@F74CNONMQRBL>1H^HO[EE18Gim23Jf`Ic?4D59G85833M6:295K<3<7?A:46=1O090;;E>6:1=C4?4=7I28:1<7?A:06<1OmyoN8:FbpdG;87h0HlznA=33>58>3MkmL2>0?:8@drfI5;546Jnt`C?6;>Bf|hK7:364D`vbE91902NjxlO38?c8@drfI531<364D`vbE9?9:2LO?6HKE29E@W7NTi2BXSl`k01235d=O[Vkeh=>?0^kntZNTWhdo<=>?1e9KWZejxVm<=>?d:JP[fkwWe~;<=>>;H08M54159JVBD;87Uba}QFRF@?4;703@XLN1?18:KQCG:668;?7D\HB=3=[lkwW@XLN1?1169JVBD;:720E_IM<3<251=NZNH7>3Qfmq]JVBD;:7;<7D\HB=1=<>OUOK682037?LT@J5?5Sdc_HPDF9399>1B^JL36?:8MWAE4?4:=95FRF@?2;YneyUB^JL36?3:?LT@J5=1<394ISEA828?3@XLN1911068MWAE4>4Te`~PISEA8286m2ANI]QGIDPBVFN^:2FO:6BJD=2=2>JBL5;5:6BJD=0=2>JBL595:6BJD=6=2>JBL5?5:6BJD=4=<>JBL5=1<384LDF?3;1h0@]CPL@V@LZ>e3EZFSAO[CI]:b>KflmUSSljk01235c=JimnTURokd12344713Dida}o}_IQ\ghvXo}:;<=?>6:O`khvfzVBXSnc_mv345669?1Fobcas]UWZejxVm<=>?1048IfijxhxTZ^Qlmq]op56788;>7@m`mqcq[RYdeyUli=>?0036?HeheykySZQlmq]da56798;>7@m`mqcq[RYdeyUgx=>?0036?HeheykySZQlmq]op56798;27@m`mqcq[lhuokUha}Qhe123447>3Dida}o}_hlqcgYdeyUli=>?103:?HeheykySd`}gc]`iuYkm9:;<2:OpqgY^Whnoxl?01226>H7:2D:=6A:;NP1F47>59R87833X68295^<5<7?T:26=1Z0;09;P>4>5833X6<295^<9<5?T:>294?7\26>09Q2>T@J5:5:6\HB=3=2>T@J585:6\HB=1=2>T@J5>5:6\HB=7=2>T@J5<546\HB=594;0Tb{|f0>06;Sgpqir;<730^h}zlu>6:<=Umzgx1819:Pfwpjs4>427_k|umv?<;dUdd{>0XT^J3:T@G<=QOHNye{k}9:TJARYSQYO97[]n;WQ\ekb789::m6X\_`lg4567W`g{S[]Paof34566l2\XSnc_fv3456c3_YTo`~Plu23457<_j1\Snc_fg3456d3^Uha}Qhe1235f=PWjg{Saz?012`?RYdeyUgx=>?189T[kis89::=55X_omw4566W`g{SZQaou2344733Q6;295W<0<7?]:56=1S0>0;;Y>7:1=_4<4?7U29>59[82833Q632;5W<883:1=_404:h6VFLHL[)]BE(9$:,^ZZB!2-5%GTK@C=7U][LH@4?]USWNDO=h5WSU]JIUJBELD_MYG@N^ALJVRUI@D__<>4XRV\VQJXX[E[I_ZV7:ZPPZPDK:1SS==4X^30?]Y5;2RT?>5W_518\Z343QU=?6VP729[[=5?01>1:f=_Whno<=>?<2<`?]Yflm:;<=2;>b9[[dbc89:;080l;Y]b`a67896=2n5W_`fg45674>4h7UQnde2345:?6l1SSljk01238<<76j1SSljk01238<8a3QUjhi}zb1234969n2RTmij|uc2345:66o1SSljkst`3456;:7l0TRokdrwa45674:4m7UQndeqvf56785>5j6VPaefpqg67896>2k5W_`fgwpd789:7:3h4X^cg`vse89:;0:0i;Y]b`aurj9:;<161109[[dbc{|h;<=>39;2=b>^Ximnxyo>?01>::<=_Whdo<=>?199[[dhc89:;Sdc_Y]bja6789;=7Ujm_Hf24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf:1Sy74XrvDIjbbd=1R0=0;;X>2:1=^4;4?7T2<>59Z81833P6>295V<7<7?\:06=1R0509;X>:>5833P622>5V_118]Z743PU9?6WP329Z[15?01>7:f=^Whno<=>?<4<`?\Yflm:;<=29>b9Z[dbc89:;0:0l;X]b`a6789632h5V_`fg4567400;2n5V_`fg4567404m7TQndeqvf56785:5j6WPaefpqg67896:2k5V_`fgwpd789:7>3h4Y^cg`vse89:;0>0i;X]b`aurj9:;<1:1f:[\eabt}k:;<=2:>g9Z[dbc{|h;<=>36?d8]Zgclzi<=>?<6?0=:=54=^Whnoxl?012?=?69n2STmij|uc2345:>6<1jhik}7:alqkrbz01oeklthmm3>aumh~n~h5hrdcwawiumxj`;5gosky5==og{cq0=07;imqm:6611ccgu<3<;?miuas68255gosky818?3aeyew2:>99kkwo}4?437ea}i{>4:==og{cq050n;imqm:>29437ea}i{>::0=nf{mi46garf`?4;>oiznh78364iopdf93902ce~jl36?c8mkt`j5=1<364iopdf919l2ce~jlPaof3456582ce~jlPaof3456XadzTec|hb^cm`56788;;7d`}gc]`iuY`m9:;<<>4iopdfZejxVmn<=>>119jjwaeWjg{Sak?01224>oiznhTo`~Pld2344753`dykoQbuy2344:72>4:<6garf`\ip~789;7?3<9;hlqcgYj}q:;<<2<>^kntZoiznhTaxv?013?7;723ekoe:4ldqpf>jb{zeyi|{nl59nelh03yegSnbd9:rlhZekcld37}ac_fcwa==wgeUxoa|n;qmqpZgdk|g37}a}t^aood=wg{~Toaejn89skwrXlh~j56~`ru]deqc?3yeyxRaa79skwrXyk1{czPrdqvhq?69uawr;97=0zh|{<3<4?scu|595;6xjru>7:2=qm{~79394vdpw83803oyx1917:tfvq:?601}iz39;2=3>pbz}622k5yesv\ghvXmx:;<=??;wgqpZejxVoz<=>?1028r`tsWjg{Sh?012155=qm{~To`~Pep234556;2|n~yQlmq]fu5678Vcf|k5yesv\ghvXmx:;<>1028r`tsWjg{Sh?013155=qm{~To`~Pep234456;2|n~yQlmq]fu5679Vcf|>5yg`38s1=494?7u2>>59{87833q68295w<5<7?}:26=1s0;0;;y>4:1=414=7u26:1<7?}:>68:0tn=|242f)0+dx89986w30?68}979<2s7>3:4y=1=0>;<7>0u1;14:{?2;20u171}ABs7cbi4I:382V25288h6o<51211=dc==?82wc?=a;38j44e2?1/=?751138yV26288h6o<51211=dc==???7i?70;295?7|[=81=?m5b382764>il0>:?74vGf6>5<6280;w^:=:00`>g4=9:995lk5570:?!c>2090(o=5219a5=6=83;8644<7zN241<6s-h86k4ri5294?=h>:0;66aja;29?jed290/n84lb:la0?6<3fij6=4+b48`f>he<3;07bm6:18'f05<#j<0hn6`m4;18?je0290/n84lb:la0?2<3fi=6=4+b48`f>he<3?07bm::18'f05<#j<0hn6`m4;58?j?5290/n8461:la0?6<3f3;6=4+b48:5>he<3;07b6i:18'f0<>92di87<4;n:f>5<#j<02=6`m4;18?j>c290/n8461:la0?2<3f2h6=4+b48:5>he<3?07b6m:18'f0<>92di8784;n:b>5<#j<02=6`m4;58?g77;3:1=7>50z&f2?063A;;>6a90;29?xdb03:1?=46b0}c2l09o70;30>47=9=0ve9>50;9l26<722enj7>5;ngb>5<he<3:07bhj:18'f05<#j<0mj6`m4;08?j`d290/n84if:la0?5<3fli6=4+b48eb>he<3>07bhn:18'f05<#j<0mj6`m4;48?j`?290/n84if:la0?1<3fl<6=4+b48eb>he<3207bh9:18'f094nc694>=h9:<1<7*m5;303>he<3;07b?<5;29 g3=9:=0bo:52:9l562=83.i97?<7:la0?5<3f;8?7>5$c795611865`12094?"e=3;8;6`m4;78?j7493:1(o;51258jg2=>21d=>>50;&a1?74?2di8794;n31b?6=,k?1=>94nc69<>=h9;o1<7*m5;303>he<3307bml:18'f04;nab>5<#j<0hn6`m4;38?je>290/n84lb:la0?4<3fi36=4+b48`f>he<3907bm8:18'f05<#j<0hn6`m4;78?je2290/n84lb:la0?0<3fi?6=4+b48`f>he<3=07b7=:18'f0<>92di87>4;n;3>5<#j<02=6`m4;38?j>a290/n8461:la0?4<3f2n6=4+b48:5>he<3907b6k:18'f0<>92di87:4;n:`>5<#j<02=6`m4;78?j>e290/n8461:la0?0<3f2j6=4+b48:5>he<3=07d6::18'f04;h:2>5<#j<0386`m4;38?l1b290/n8474:la0?4<3`=i6=4+b48;0>he<3907d97:18'f05<#j<0386`m4;78?l15290/n8474:la0?0<3`he<3=07o??3;295?6=8r.n:78>;I336>i183:17pl>0783>4<729q/i;4j7:J247=hj80;66smeg83>1<729q/i;4:f:J247=K99?1>v*>9180a>h61809?6sf3b83>>o3=3:17dm<:188kg0=831vn;=50;694?6|,l<19k5G1108H4622;q/=4>53d9m5<7=:m1ve>m50;9j00<722ch?7>5;n`5>5<=;M331?4|,83;6>k4n0;2>726<729q/i;4:d:J247=K99?1>v*>9180a>h6180986sf3b83>>o3=3:17bl9:188ygea29086=4?{%g5>0b<@8:97A??5;0x 4?72:o0b<7>:368yl5d2900e9;50;9lf3<722wih=4?:283>5}#m?0>h6F>039O553=:r.:5=45<4290;w)k9:4f8L4653E;;976c5<>{el;0;6>4?:1y'a3<2l2B:90810>{n;j0;66g;5;29?jd12900qoj<:180>5<7s-o=68j4H021?I77=38p(<7?:2g8j4?62;>0qd=l:188m13=831dn;4?::a`1<72:0;6=u+e786`>N68;1G==;52z&2=5<4m2d:5<4=4:j7f<722c?97>5;n`5>5<=;M331?4|,83;6>k4n0;2>650;694?:1y'a3<2m2B:90811>{n;j0;66g0c<@8:97A??5;0x 4?72:o0b<7>:378yl5d2900e>h50;9j00<722ei:7>5;|`24<<72=0;6=u+e786a>N68;1G==;52z&2=5<4m2d:5<4=5:j7f<722c8j7>5;h66>5<5<3290;w)k9:4g8L4653E;;976c5<>ie>3:17pl>0c83>1<729q/i;4:e:J247=K99?1>v*>9180a>h6180996sf3b83>>o4n3:17d:::188kg0=831vn<>l:187>5<7s-o=68k4H021?I77=38p(<7?:2g8j4?62;?0qd=l:188m6`=831b884?::ma2?6=3th:5}#m?0>i6F>039O553=:r.:5=4>6=44oc494?=zj8:n6=4;:183!c12=;M331?4|,83;6>k4n0;2>7390811>{n;j0;66g0c<@8:97A??5;0x 4?72:o0b<7>:378yl5d2900e>h50;9j00<722ei:7>5;|`27<<72=0;6=u+e786a>N68;1G==;52z&2=5<4m2d:5<4=6:j7f<722c8j7>5;h66>5<5<3290;w)k9:4g8L4653E;;976c5<>ie>3:17pl>3c83>1<729q/i;4:e:J247=K99?1>v*>9180a>h61809:6sf3b83>>o4n3:17d:::188kg0=831vn<=l:187>5<7s-o=68k4H021?I77=38p(<7?:2g8j4?62;<0qd=l:188m6`=831b884?::ma2?6=3th:?i4?:583>5}#m?0>i6F>039O553=:r.:5=42wb?n4?::k0b?6=3`>>6=44oc494?=zj89n6=4;:183!c12=;M331?4|,83;6>k4n0;2>7090812>{n;j0;66g0c<@8:97A??5;0x 4?72:o0b<7>:348yl5d2900e>h50;9j00<722ei:7>5;|`204<72=0;6=u+e786a>N68;1G==;52z&2=5<4m2d:5<4=6:j7f<722c8j7>5;h66>5<5<3290;w)k9:4g8L4653E;;976c5<>ie>3:17pl>9683>a<729qG==;5dz&2<`<6=h1/=8l51868 4>?2=?0(<6::2c8 4>e2k<0(<69:518j4>6281/=595459m5=4=92.:4i4j5:l2<1<63-;2<7=j;o3:5?5a3t.n:7?67:X0f?7|j3wb8>4?::k70?6=3`o>6=44oc594?"e=3i:7cl;:898kg>=83.i97m>;o`7>==10coo50;&a1?e63gh?6;54oc`94?"e=3i:7cl;:498kge=83.i97m>;o`7>1=;o`7>5=i7>5c;294~J68<0iw)?7e;36e>"6=k0:595+19:900=#91?1?l5+19`9f3=#91<18>5a19395>"60m0n96`>8582?!7>839n7c?61;1a?x"b>3;>i6The<3207bl6:18'f05<#j<0h=6`m4;48?jde290/n84l1:la0?3<3fhh6=4+b48`5>he<3>07blk:18'f05<#j<0h=6`m4;08?je7290/n84l1:la0?7<3fi96=4+b48`5>he<3:07pl6d;2951<729qG==;57z&2<`<6=01/=5o54g9'5<0=92.:4n4k6:&2=0<73-;2<7=j;o3:5?423t.n:77k;[1a>7}f2j0ve8>50;&a1?3e3gh?6454i4194?"e=3?i7cl;:998m02=83.i97;m;o`7>2==83.i97;m;o`7>6=<=0=54oeg94?"e=3o;7cl;:398ka`=83.i97k?;o`7>4=k4n0;2>700d1565f5283>!d2268l4nc693>=n=<0;6)l::4`8jg2=>21b9;4?:%`6>0d1965f5683>!d2268l4nc697>=n=00;6)l::4`8jg2=:21b9l4?:%`6>0d1=65f5b83>!d226h>4nc69=>=hl10;6)l::d28jg2=021dh44?:%`6>`61;65`d`83>!d22l:0bo:56:9l`g<72-h>6h>4nc691>=hlj0;6)l::d28jg2=<21dhi4?:%`6>`61?65`dd83>!d22l:0bo:52:9l`c<72-h>6h>4nc695>=hm80;6)l::d28jg2=821vnll50;37>5<7sE;;979t$0:f>4?53-;3m7:i;%3:2?3<,82h6i84$0;6>5=#90:1?h5a183960=z,l<1mo5U3c81d<7>5$c791g=ij=0276g:3;29 g3==k1en947;:k60?6=,k?19o5ab584?>o2=3:1(o;55c9mf1<132c>:7>5$c791g=ij=0>76g:7;29 g3==k1en94;;:k6o213:1(o;55c9mf1<532c>m7>5$c791g=ij=0:76g:c;29 g3==k1en94?;:mg3?6=,k?1i=5ab58:?>ic03:1(o;5e19mf15$c79a5=ij=0<76aka;29 g3=m91en949;:mgf?6=,k?1i=5ab586?>ick3:1(o;5e19mf1<332eoh7>5$c79a5=ij=0876ake;29 g3=m91en94=;:mgb?6=,k?1i=5ab582?>ib93:1(o;5e19mf1<732wimn4?:0694?6|D8:>6:u+19g95<4<,82j69h4$0;5>==#91i1h;5+18794>"61908i6`>90812>{#m?0jo6The<3307d;<:18'f0<2j2di8764;h77>5<#j<0>n6`m4;58?l32290/n84:b:la0?0<3`?=6=4+b486f>he<3?07d;8:18'f0<2j2di87:4;h7;>5<#j<0>n6`m4;18?l3>290/n84:b:la0?4<3`?j6=4+b486f>he<3;07d;l:18'f0<2j2di87>4;nf4>5<#j<0n<6`m4;;8?jb?290/n84j0:la0?><3fn26=4+b48f4>he<3=07bjn:18'f05<#j<0n<6`m4;78?jbd290/n84j0:la0?2<3fno6=4+b48f4>he<3907bjj:18'f05<#j<0n<6`m4;38?jc6290/n84j0:la0?6<3th2j7>5c;294~J68<0>3:0(<6l:238 4>b28?h7)?7f;3:7>"61908i6`>9081g>{#m?02j6T;o`7>2=;o`7>6=50;a94?6|D8:>6:u+19c90c=#90<1=l5+19a976=#91o1=:k4$0:e>4?43-;2<7=j;o3:5?4d3t.n:7o?;[1a>4}6l3wb9=4?:%`6>071m65f5283>!d22<;0bo:59:9j11<72-h>68?4nc69<>=n=<0;6)l::438jg2=?21b9;4?:%`6>071:65f5683>!d22<;0bo:55:9j1=<72-h>68?4nc690>=n=00;6)l::438jg2=;21b9l4?:%`6>071>65f5b83>!d22<;0bo:51:9j17<72-h>68?4nc694>=h;:0;66sma283>f<729qG==;57z&253d9m5<7=:91v(h85a29Y7g<6s8n1qd;?:18'f0<292di87o4;h70>5<#j<0>=6`m4;;8?l33290/n84:1:la0?><3`?>6=4+b4865>he<3=07d;9:18'f0<292di8784;h74>5<#j<0>=6`m4;78?l3?290/n84:1:la0?2<3`?26=4+b4865>he<3907d;n:18'f0<292di87<4;h7`>5<#j<0>=6`m4;38?l35290/n84:1:la0?6<3f996=44}cc6>5f2=l0(<79:0`8 4>d2:90(<6j:05f?!7?n3;2?6*>9180a>h61809<6s+e78b1>\4j3;p=i4ri4294?"e=3?:7cl;:`98m05=83.i97;>;o`7><=1<7*m5;72?kd32110e8;50;&a1?363gh?6:54i4494?"e=3?:7cl;:798m01=83.i97;>;o`7>0=54i4c94?"e=3?:7cl;:398m0e=83.i97;>;o`7>4==50;9~fd2=83i1<7>tL026>2}#91k18k5+18496>"60j08>6*>8d821a=#91l1=4=4$0;3>6c4}%g5>d2o2;3:1(o;5509mf1<>32c>87>5$c7914=ij=0376g:5;29 g3==81en948;:k62?6=,k?19<5ab585?>o2?3:1(o;5509mf1<232c>47>5$c7914=ij=0?76g:9;29 g3==81en94<;:k6e?6=,k?19<5ab581?>o2k3:1(o;5509mf1<632c>>7>5$c7914=ij=0;76a<2;29?xdf>3:1o7>50zN240<0s-;3m7:i;%3:2?5<,82h6>=4$0:f>41b3-;3j7?63:&2=5<4m2d:5<4=0:'a32P8n7?t1e8~m06=83.i97;>;o`7>d=;o`7>3=;o`7>7=q/=5o54g9'5<0=82.:4n4<1:&2<`<6=j1/=5h51818 4?72:o0b<7>:208y!c12h;0V>l51z3g>xo283:1(o;5509mf1?7>5$c7914=ij=0276g:4;29 g3==81en947;:k61?6=,k?19<5ab584?>o2>3:1(o;5509mf1<132c>;7>5$c7914=ij=0>76g:8;29 g3==81en94;;:k6=?6=,k?19<5ab580?>o2i3:1(o;5509mf1<532c>o7>5$c7914=ij=0:76g:2;29 g3==81en94?;:m05?6=3thj>7>5c;294~J68<0>3;27)?7c;10?!7?m3;8g82=6=#90:1?h5a183977=z,l<1m?5U3c824b=u`?;6=4+b4865>he<3k07d;<:18'f0<292di8774;h77>5<#j<0>=6`m4;:8?l32290/n84:1:la0?1<3`?=6=4+b4865>he<3<07d;8:18'f0<292di87;4;h7;>5<#j<0>=6`m4;68?l3>290/n84:1:la0?5<3`?j6=4+b4865>he<3807d;l:18'f0<292di87?4;h71>5<#j<0>=6`m4;28?j542900qo?n7;29f?5=lr.n:7?n7:m2e6<722c:554?::k2=<<722c:5l4?::k2=g<722c:5n4?::k2=a<722c:5h4?::k2=c<722c:m=4?::k2e7<722h:m94?:483>5}#m?08;6F>039j2<<722c=m7>5;h4a>5<>{e9h?1<7;50;2x `0=;01C==<4i7;94?=n>h0;66g9b;29?l0d2900clh50;9~f4g1290>6=4?{%g5>6><@8:97d86:188m3g=831b:o4?::k5g?6=3fkm6=44}r3b7?6=:rT:m>521`49ec=z{8336=4={_3:<>;6i=0=m6s|18;94?4|V83270?n4;4:?xu61h0;6?uQ18c894g22?30q~?6b;296~X61k16=l:56c9~w4?d2909wS?6c:?2e0<1i2wx=4j50;0xZ4?c34;j978l;|q2=`<72;qU=4k4=0c6>3d52z\2=c=:9h<1:45rs0c3>5<5sW;j<63>a785e>{t9h81<7a583>7}:9h>1mk521`492g=z{8k>6=4={<3b1?ga34;j:78l;|a5de=83h1?7jt$d495de5<5<5<5<5<5<2290;w)k9:258L4653`<26=44i7c94?=n>k0;66g9c;29?jga2900qo?na;291?6=8r.n:7=6;I336>o113:17d8n:188m3d=831b:n4?::mbb?6=3th:mo4?:483>5}#m?0846F>039j2<<722c=m7>5;h4a>5<>{t9h21<75g83>7}Y9vP>619>5d?=>01v<8>:181[71927:ml49a:p534=838pR<8=;<3be?0e3ty::>4?:3y]535<58kj6;m4}r350?6=:rT::9521`;92d=z{8<>6=4={_351>;6ik0=56s|17494?4|V8<=70?nb;4b?xu6>>0;6?uQ175894g>2?h0q~?99;296~X6>016=l756b9~w4g>2909w0?n9;ce?87fj3a`83>7}:9hk1mk521``92f=zuk<>6=4<:183!c12:?0D<>=;h4:>5<>{e9;21<7;50;2x `0=;?1C==<4i7;94?=n>h0;66g9b;29?l0d2900clh50;9~f`e=8391<7>t$d4970=O9980e;750;9j2d<722ejj7>5;|`e1?6=:3:15;nce>5<=;h4:>5<>{e?=0;6>4?:1y'a3>o1i3:17boi:188yg1029086=4?{%g5>63<@8:97d86:188m3g=831dmk4?::a3d<72:0;6=u+e7801>N68;1b:44?::k5e?6=3fkm6=44}c5g>5<4290;w)k9:278L4653`<26=44i7c94?=hio0;66sm8183>6<729q/i;4m0:J247=n>00;66g9a;29?jga2900qo6<:180>5<7s-o=6o>4H021?l0>2900e;o50;9lec<722wi4:4?:283>5}#m?0i<6F>039j2<<722c=m7>5;nce>5<;6=4=2z\74>;bn39h708<:2a89fb=;j16oh4m4=e397f=:l;08o63k3;1`?8b32:i01ho53b9>551=;j16==653b9>55?=;j16==o53b9>55d=;j16==m53b9>55b=;j16==k53b9>55`=;j16=<>53b9>56?=;j16=>o53b9>56d=;j16=>m53b9>56b=;j16=>k53b9>56`=;j16=9>53b9>517=;j16=9<53b9>5<1=<:16=8k5429~w35=838pR;=4=719f3=z{ll1<7526485e>{t>?0;6?u29g805>;1=3<27p}i4;296~;bn3i870h::`d8yvce2909w0kn:b189`e=io1vk<50;0x9d3=;:16=?656`9~wc5=838p1l85329>57>=>j1v<<8:182a~;68>08j63>0980b>;68008j63>0`80b>;68k08j63>0b80b>;68m08j63>0d80b>;68o08j63>1180b>;6;008j63>3`80b>;6;k08j63>3b80b>;6;m08j63>3d80b>;6;o08j63>4180b>;6<808j63>4380b>;6:10jj63i5;4;?8162?301::5689>32<1i273g<51:1:4528285=>;??3<27p}>a283>7}:90=1i8521`595d553z?2=2<3<27:9h4j5:?2ef<6i11vhk50;0x9d4=;:16in49a:p21<72;q6:>4l3:?51?ga3tynh7>52z?b5?5634oh6;74}rd3>5<5s4k86><4=00;>3?64<58836;l4}r334?6=:rT:<=521029f3=#m=0mj6`j3;28yv`b2909wShj;<33b?d13-o?6kh4nd195>{tnm0;6?uQfe9>55c=j?1/i94if:lf7?4hb;3>0q~hn:181[`f34;;n7l9;%g7>c`7}Yn016==o5b79'a15<5sWl370??9;`5?!c32ol0bh=57:pb2<72;qUj:5211:9f3=#m=0mj6`j3;:8yv`12909wSh9;<333?d13-o?6kh4nd19=>{t9:21<727:8<4m6:&f0?74?2dn?7?4}r301?6=:rT:?8521529f3=#m=0:?:5ae281?xu6;=0;6?uQ1268945a2k<0(h:51258j`5=;2wx=>=50;0xZ45434;8i7l9;%g7>4503go8695rs011>5<5sW;8>63>3e8a2>"b<3;8;6`j3;78yv7493:1>vP>309>56e=j?1/i94>369ma6<13ty:?=4?:3y]566<589i6o84$d695612.n87?<7:lf7??52z\`e>;c;3h=7)k;:b`8j`5=92wxo44?:3y]g<=:l;0i:6*j4;aa?kc42;1vn650;0xZf><5m;1n;5+e58`f>hb;390q~m8:181[e034n;6o84$d69gg=im:0?7p}l6;296~Xd>27hj7l9;%g7>fd7}Yk<16oh4m6:&f0?ee3go86;5rsb694?4|Vj>01nj5b79'a15<69rT3m6P7b:\;g>X?l2T3i6P7f:\:4>X>:27:<>490:?:b?3534k;68<4=`1917=:i<0>>63n4;71?8g12<801l?5539>e7<2:2.n877>;og0>2=z{1?1<7h1/i9474:lf7?642?k0(h:5859ma6<63ty52z\4a>;?83<5>k1:45+e58;0>hb;3>0q~9::181[1234=<6;74$d69<1=im:0>7p}82;296~X0:27<878n;%g7>=27}Y>o16;<49a:&f0?>33go86:5rs04:>5<0s4;>i7m=;0e<50l19n52a186g>;f;3?h70o::4a894gd28<27)k;:04;?kc4291v<88:184872m3i;70om:4c89<`==h16m=4:a:?b7?3f34k>68o4=0c`>4003-o?6<87;og0>4=z{8<=6=48{<36a?da34ki6874=8d91<=:i90>563n3;7:?8g22<301e5<2027j?7;7;0><58kh6<8:;%g7>40?3go86>5rs047>5<0s4;>i7ll;01<50l19:52a1863>;f;3?<70o::45894gd286884=0c`>4043-o?6<87;og0>0=z{8<96=48{<36a?df34ki68;4=8d910=:i90>963n3;76?8g22014h5559>e5<2<27j?7;;;02<58kh6<8>;%g7>40?3go86:5rs043>5<0s4;>i7l7;05<50l19>52a1867>;f;3?870o::41894gd28<;7)k;:04;?kc4211v<;i:184872m3h<70om:4289<`==916m=4:0:?b7?3734k>68>4=0c`>43a3-o?6<87;og0><=z{8k96=48{<3:3?e534kh68m4=`691f=:i?0>o63n1;7`?8g52e3<2i27j=7;n;0g<58k<64g63go86<5rs0;e>5<0s4;2;7li;0?<5h>19452a786=>;f93?270o=:4;894g0283m7)k;:0c2?kc42;1v<7j:18487>?3ho70ol:4:89d2==116m;4:8:?b5?3?34k96864=0c4>4?b3-o?6;og0>6=z{83o6=48{<3:3?dd34kh6894=`6912=:i?0>;63n1;74?8g52<=01e3<2>27j=7;9;00<58k<6<7l;%g7>4g63go8685rs0;a>5<0s4;2;7ln;03<5h>19852a7861>;f93?>70o=:47894g0283i7)k;:0c2?kc42?1v<7n:18487>?3h270ol:4689d2===16m;4:4:?b5?3334k968:4=0c4>4?f3-o?6;og0>2=z{8326=48{<3:3?d?34kh68=4=`6916=:i?0>?63n1;70?8g52<901e3<2827j=7;?;06<58k<6<77;%g7>4g63go8645rs03a>5<5s4;:<7::;<;g>`7<,l>1={t9831<713<50n1hk5+e5825d=im:0:7p}>1983>7}:99o188529e8ga>"b<3;:m6`j3;08yv76?3:1>v3>0e871>;>l3no7)k;:03b?kc42:1v>707k:ea8 `2=98k0bh=54:p543=838p1<>m:57891`9ma6<23ty:=94?:3y>55g=<<165i4ka:&f0?76i2dn?784}r327?6=:r7:<44;5:?:`?b>3-o?62=z{8;96=4={<33hb;3:0q~?;b;296~;6<80?9636e;fe?!c328>h7ck<:09~w42f2909w0?;0;66?8?b2mo0(h:515a8j`5=:2wx=9750;0x945a2=?014k5de9'a1<64<;|q20=<72;q6=>k5449>=`52z?27a<3=272i7jm;%g7>42d3go8685rs065>5<5s4;8o7::;<;f>ag<,l>1=9m4nd192>{t9=?1<713<50o1h45+e5820f=im:0<7p}>4583>7}:9:k188529d8g<>"b<3;?o6`j3;:8yv73;3:1>v3>38871>;>m3n<7)k;:06`?kc4201v<<9:1818?c24?;|q261<72;q65i4:a:?bf?ba3-o?6<<:;og0>4=z{8886=4={<;g>0?<5hh1hh5+e58260=im:097p}>2383>7}:1m0>463nb;fg?!c3288>7ck<:29~w4462909w07k:4589dd=lj1/i94>249ma6<33ty:>=4?:3y>=a<2>27jn7jm;%g7>4423go8685rs03e>5<5s43o68;4=``9`d=#m=0:>85ae285?xu69l0;6?u29e860>;fj3n27)k;:006?kc42>1v47;|q25f<72;q65i4:0:?bf?b03-o?6<<:;og0><=z{8?36=4={<;f>0e<5hi1i<5+e58212=im:0;7p}>5783>7}:1l0>m63nc;fe?!c328?<7ck<:09~w4322909w07j:4;89de=ll1/i94>569ma6<53ty:994?:3y>=`<2027jo7jk;%g7>4303go86>5rs070>5<5s43n6894=`a9`f=#m=0:9:5ae287?xu6=;0;6?u29d862>;fk3ni7)k;:074?kc42<1v<;>:1818?b21ei>49;|q215<72;q65h4:4:?bg?b>3-o?6<;8;og0>2=z{8>m6=4={<;f>05<5hi1h55+e58212=im:037p}>4d83>7}:1l0><63nc;f4?!c328?<7ck<:89~wdc=83>p1<>9:c389``=<<16:>4;5:?fe?223ty<<7>52z?``?2234=:6lh4}r50>5<5s4in69;4=669ec=z{><1<70jj6s|7883>7}:l90?9638a;ce?xu0k3:1>v3k1;66?81c2hl0q~9i:1818b52=?015>5ag9~w=4=838p1i=5449><6`1<3=273;7oi;|a53g=83;:654>1zN241<6sA237pB>0484k7>939h7)?7e;34`>"6010?96*>8c8a2>"6000=>6`>8282?!7>839o7p*j6;;7?_5e2;q9n7<9:|Xf6?4|:m0997sf6383>>ien3:1(o;5bd9mf1<732eih7>5$c79f`=ij=0:76amc;29 g3=jl1en94=;:maf?6=,k?1nh5ab580?>iei3:1(o;5bd9mf1<332ei57>5$c79f`=ij=0>76am8;29 g3=jl1en949;:ma3?6=,k?1nh5ab584?>o3m3:1(o;54e9mf1<732c?o7>5$c790a=ij=0:76g;b;29 g3=o313:1(o;54e9mf1<332c?47>5$c790a=ij=0>76g;7;29 g3=d729086<4<{M330?7|@120qA??5;7x 4>b28=o7)?78;66?!7?j3h=7)?79;41?k7?;3;0q)k9:878m13=831dn;4?::k56?6=3k:1<7=50;2x `0=001C==<4L027>4}#>m0;7pg;5;29?l072900co850;9~w13=838pR9;4=1871>{tj?0;6?uQb79>4?d13ty=>7>52z\56>;72?:0qpl>:180>4<4sE;;87?tH9:8yI77=3?p(<6j:05g?!7?03>>7)?7b;`5?!7?13<97c?73;38y!c120<0e9;50;9lf3<722c=>7>5;c294?5=83:p(h85889K5547}Yj?16<7l9;|q56?6=:rT=>63?:728yxd6m3:1?7?53zN241<6sA237pB>0486!7?m3;89871>"60k0i:6*>88856>h60:0:7p*j6;;4?l222900co850;9j27<722h;6=4<:183!c12130D<>=;M330?7|,?n1<6sf4483>>o183:17bl9:188yv222909wS::;<2900=z{k<1<72wx:?4?:3y]27=:83<;7psm1g83>6<62:qG==:51zJ;<>{K99?19v*>8d823a=#9121885+19`9f3=#9131:?5a19195>{#m?0246g;5;29?jd12900e;<50;9a4?6=;3:1{%4g>5=za=?1<75f6183>>ie>3:17p};5;296~X3=27;69;4}r`5>5<5sWh=70>5b79~w34=838pR;<4=1854>{zj;;1<7=51;1xH46328qC455rL026>0}#91o1=:j4$0:;>13<,82i6o84$0::>345}#m?0356F>039O552=9r.=h7>4}h66>5<>{t<<0;6?uQ449>4?223tyi:7>52z\a2>;72k<0q~8=:181[0534:1:=5r}c01>5<42808wA??4;3xL=>41c3-;347::;%3;f?d13-;3578=;o3;7?7t$d49<<=O9980@<>;:0y'2a<73tc?97>5;h43>5<vP92:?3>3653;397~J68=0:wE67;|N240<2s-;3i7?8d:&2<=<3=2.:4o4m6:&2<<<1:2d:4>4>;|&f2??e3`>>6=44oc494?=n>;0;66l?:180>5<7s-o=6574H021?I77<3;p(;j50:j00<722c=<7>5;n`5>5<>6=4={_66?86=<<1vo850;0xZg0<590i:6s|6383>7}Y>;16<78?;|a61<72:0:6>uC11695~N?02wG==;55z&2<`<6?m1/=565449'5=d=j?1/=575639m5=5=92w/i;46c:k71?6=3fh=6=44i7094?=e83:1?7>50z&f2?>>3A;;>6B>0582!0c291ve9;50;9j25<722ei:7>5;|q71?6=:rT?963?:578yvd12909wSl9;<29f3=z{?81<763>:70894c=>;16=k492:?15?0534896;<4=31927=::=0=>6s|bg83>7}Yjo16>94m6:&f0?db3go86=5rscf94?4|Vkn01?=5b79'a15<5sWhh70<=:c48 `2=jl1ei>4=;|qaf?6=:rTin63=1;`5?!c32ko0bh=53:pfd<72;qUnl521g8a2>"b<3hn7ck<:59~wg?=838pRo74=0g9f3=#m=0ii6`j3;78yvd?2909wSl7;<39f3=#m=0ii6`j3;48yvd02909wSl8;<29f3=#m=0ii6`j3;58yv2b2909wS:j;<07>13<,l>18i5ae283?xu3k3:1>vP;c:?17?223-o?69j4nd195>{t67<3=2.n87:k;og0>7=z{=k1<726=4={_6:?87a2=?0(h:54e9ma6<33ty?47>52z\7<>;6m3>>7)k;:5f8j`5==2wx8:4?:3y]02=:93>>7)k;:5f8j`5=>2wx8;4?:3y]03=:83>>7)k;:5f8j`5=?2wvnl950;a94?6|D8:>6:u+19c90c=#90<1;6*>8b806>"60l0:9i5+19d95<5<,83;6>j4n0;2>6d<7>5$c7914=ij=0j76g:3;29 g3==81en946;:k60?6=,k?19<5ab58;?>o2=3:1(o;5509mf1<032c>:7>5$c7914=ij=0=76g:7;29 g3==81en94:;:k6o213:1(o;5509mf1<432c>m7>5$c7914=ij=0976g:c;29 g3==81en94>;:k66?6=,k?19<5ab583?>i4:3:17pln9;29g?6=8rF:<848{%3;e?2a3-;2:774$0:`>62<,82n6<9i;%3;b?7>;2.:5=450;&a1?363gh?6l54i4194?"e=3?:7cl;:898m02=83.i97;>;o`7>==10e8850;&a1?363gh?6;54i4594?"e=3?:7cl;:498m0>=83.i97;>;o`7>1=;o`7>5=1<75rb`:94?e=83:p@<>::6y'5=g=a28387)?60;1g?k7>939i7p*j6;c;?_5e28q:h7sf5183>!d22<;0bo:5a:9j16<72-h>68?4nc69=>=n==0;6)l::438jg2=021b984?:%`6>071;65f5783>!d22<;0bo:56:9j12<72-h>68?4nc691>=n=10;6)l::438jg2=<21b944?:%`6>071?65f5`83>!d22<;0bo:52:9j1f<72-h>68?4nc695>=n=;0;6)l::438jg2=821d??4?::aed<72j0;6=uC11793~"60h0?j6*>9787?!7?k39?7)?7e;34b>"60o0:5>5+18297a=i90;1?o5r$d49ed=];k0:w5<#j<0>=6`m4;c8?l34290/n84:1:la0??<3`??6=4+b4865>he<3207d;::18'f0<292di8794;h75>5<#j<0>=6`m4;48?l30290/n84:1:la0?3<3`?36=4+b4865>he<3>07d;6:18'f0<292di87=4;h7b>5<#j<0>=6`m4;08?l3d290/n84:1:la0?7<3`?96=4+b4865>he<3:07b=;:188yg70k3:1>7>50z&f2?0b3A;;>6g98;29?jga2900qo?85;291?6=8r.n:7=9;I336>o113:17d8n:188m3d=831b:n4?::mbb?6=3ty?<7>52z\74>;b03>;7p}93;296~X1;27n478<;|qfe?6=:rTnm63j8;gb?xu6il0;6?u2e98fb>;6>h0=>6s|16:94?4|5h31?95216792d=z{8=26=4={62<58=>6;m4}r342?6=:r7j;7==;<341?0>3ty:;:4?:3y>e=<4:27:;849b:p522=83=p1<8n:5g8940f2=i01<8n:5`8940f2==01<8n:548941d2?201<9::`d8yvgc290>w0??3;43?8g02<801l75539>e=<2:27jm7;=;|q`g?6=:rTho63j8;a`?!c32jh0bh=50:pgd<72;qUol52e98`e>"b<3ii7ck<:09~wf?=838pRn74=d:9g<=#m=0hn6`j3;08yve?2909wSm7;f><,l>1oo5ae280?xud?3:1>vPl7:?f{tk?0;6?uQc79>a=2.n87mm;og0>0=z{j?1<7=k<1/i94lb:lf7?00(h:5cc9ma6<03ty2>7>52z\:6>;b03397)k;:838j`5=82wx5=4?:3y]=5=:m102<6*j4;;2?kc4281v5h50;0xZ=`<5l214k5+e58:5>hb;380q~6j:181[>b34o365k4$d69=4=im:087p}7d;296~X?l27n476k;%g7><77}Y0j16i547c:&f0??63go8685rs9`94?4|V1h01h658c9'a1<>92dn?784}r:b>5<5sW2j70k7:9c8 `2=181ei>48;|q23g<72=q6=;o54`9>53g=<116=;o5489>52e=io1/i94>7`9ma6<43ty:n44?:2y>a=<68916m:4:c:?b=?3d3-o?65=z{8h<6=4<{cc<5h=19l52a886e>"b<3;i46`j3;38yv7e>3:1?v3j8;dg?8g02<301l75589'a1<6j11ei>4=;|q2f0<72:q6i54ic:?b3?3?34k26864$d695g>;f13?<7)k;:0`;?kc42=1ve<<2>2.n87?m8:lf7?37>53z?f34k<68;4=`;910=#m=0:n55ae285?xu6j80;6>u2e98e<>;f?3??70o6:468 `2=9k20bh=57:p5g6=839p1h65f69>e2<2;27j57;<;%g7>4d?3go8655rs0ce>5<4s4o36k84=`5915=:i00><6*j4;3a<>hb;330q~?l5;297~;b03;8463n8;7`?8gf2=9:<01l655`9>ed<2i2.n87?l4:lf7?77>53z?f0?<,l>1=n:4nd196>{t9j;1<7=t=d:9562<5h219552a`86<>"b<3;h86`j3;18yv7d83:1?v3j8;307>;f03?<70on:458 `2=9j>0bh=54:p5g`=839p1h6512089d>==?16ml4:6:&f0?7d<2dn?7;4}r3aa?6=;r7n47?<1:?b>4=`:911=:ih0>86*j4;3`0>hb;3=0q~?mc;297~;b03;9j63n8;70?8gf2<90(h:51b68j`5=02wx=ol50;1x9`>=9;o01l65519>ed<282.n87?l4:lf7??52z?f234;=m7li;%g7>4153go86=5rs052>5<5s4o365?4=04b>gb<,l>1=:<4nd195>{t9>:1<76g83>7}:m106`8af>"b<3;<>6`j3;18yv71m3:1>v3j8;5;?871i3hj7)k;:051?kc42=1v<8k:1818c?2>?01<8n:c;8 `2=9>80bh=55:p53e=838p1h65739>53g=j11/i94>739ma6<13ty::o4?:3y>a=<1n27::l4m7:&f0?70:2dn?794}|~yEFDs:lo68l;103`exFGJr:vLM^t}AB \ No newline at end of file diff --git a/main.pad b/main.pad new file mode 100644 index 0000000..2c5bee5 --- /dev/null +++ b/main.pad @@ -0,0 +1,162 @@ +Release 14.1 - par P.15xf (nt) +Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. + +Thu Feb 21 20:21:23 2013 + + +# NOTE: This file is designed to be imported into a spreadsheet program +# such as Microsoft Excel for viewing, printing and sorting. The | +# character is used as the data field separator. This file is also designed +# to support parsing. +# +INPUT FILE: main_map.ncd +OUTPUT FILE: main.pad +PART TYPE: xc3s250e +SPEED GRADE: -5 +PACKAGE: cp132 + +Pinout by Pin Number: + +-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----| +Pin Number|Signal Name|Pin Usage|Pin Name|Direction|IO Standard|IO Bank Number|Drive (mA)|Slew Rate|Termination|IOB Delay|Voltage|Constraint|IO Register|Signal Integrity| +A1|||PROG_B|||||||||||| +A2|||TDI|||||||||||| +A3||DIFFM|IO_L11P_0|UNUSED||0||||||||| +A4|||GND|||||||||||| +A5|||VCCAUX||||||||2.5|||| +A6|||VCCO_0|||0|||||any******|||| +A7||DIFFM|IO_L07P_0/GCLK10|UNUSED||0||||||||| +A8|||GND|||||||||||| +A9||DIFFS|IO_L05N_0/GCLK7|UNUSED||0||||||||| +A10||DIFFM|IO_L04P_0/GCLK4|UNUSED||0||||||||| +A11|||VCCINT||||||||1.2|||| +A12||DIFFS|IO_L02N_0|UNUSED||0||||||||| +A13||DIFFM|IO_L01P_0|UNUSED||0||||||||| +A14|||TDO|||||||||||| +B1||DIFFS|IO_L01N_3|UNUSED||3||||||||| +B2||DIFFM|IO_L01P_3|UNUSED||3||||||||| +B3||DIFFS|IO_L11N_0/HSWAP|UNUSED||0||||||||| +B4||DIFFM|IO_L10P_0|UNUSED||0||||||||| +B5||DIFFM|IO_L09P_0|UNUSED||0||||||||| +B6||DIFFM|IO_L08P_0|UNUSED||0||||||||| +B7||DIFFS|IO_L07N_0/GCLK11|UNUSED||0||||||||| +B8||DIFFMI|IP_L06P_0/GCLK8|UNUSED||0||||||||| +B9||DIFFM|IO_L05P_0/GCLK6|UNUSED||0||||||||| +B10|||VCCO_0|||0|||||any******|||| +B11||DIFFS|IO_L03N_0/VREF_0|UNUSED||0||||||||| +B12||DIFFM|IO_L02P_0|UNUSED||0||||||||| +B13|||TCK|||||||||||| +B14|||TMS|||||||||||| +C1|||GND|||||||||||| +C2||DIFFS|IO_L02N_3|UNUSED||3||||||||| +C3||DIFFM|IO_L02P_3|UNUSED||3||||||||| +C4||DIFFS|IO_L10N_0|UNUSED||0||||||||| +C5||DIFFS|IO_L09N_0|UNUSED||0||||||||| +C6||DIFFS|IO_L08N_0/VREF_0|UNUSED||0||||||||| +C7|||GND|||||||||||| +C8||DIFFSI|IP_L06N_0/GCLK9|UNUSED||0||||||||| +C9||DIFFS|IO_L04N_0/GCLK5|UNUSED||0||||||||| +C10|||GND|||||||||||| +C11||DIFFM|IO_L03P_0|UNUSED||0||||||||| +C12||DIFFS|IO_L01N_0|UNUSED||0||||||||| +C13||DIFFS|IO_L10N_1/LDC2|UNUSED||1||||||||| +C14|RGB<5>|IOB|IO_L10P_1/LDC1|OUTPUT|LVCMOS33|1|8|FAST|NONE**|||LOCATED|NO|NONE| +D1||DIFFS|IO_L03N_3|UNUSED||3||||||||| +D2||DIFFM|IO_L03P_3|UNUSED||3||||||||| +D3|||VCCINT||||||||1.2|||| +D12||DIFFS|IO_L09N_1/LDC0|UNUSED||1||||||||| +D13|RGB<6>|IOB|IO_L09P_1/HDC|OUTPUT|LVCMOS33|1|8|FAST|NONE**|||LOCATED|NO|NONE| +D14|||NC|||||||||||| +E1|||VCCO_3|||3|||||2.50|||| +E2||IBUF|IP/VREF_3|UNUSED||3||||||||| +E3|||GND|||||||||||| +E12|||VCCAUX||||||||2.5|||| +E13|||VCCO_1|||1|||||3.30|||| +E14|||GND|||||||||||| +F1||DIFFM|IO_L05P_3/LHCLK2|UNUSED||3||||||||| +F2||DIFFS|IO_L04N_3/LHCLK1|UNUSED||3||||||||| +F3||DIFFM|IO_L04P_3/LHCLK0|UNUSED||3||||||||| +F12||DIFFS|IO/A0|UNUSED||1||||||||| +F13|RGB<7>|IOB|IO_L08N_1/A1|OUTPUT|LVCMOS33|1|8|FAST|NONE**|||LOCATED|NO|NONE| +F14|RGB<2>|IOB|IO_L08P_1/A2|OUTPUT|LVCMOS33|1|8|FAST|NONE**|||LOCATED|NO|NONE| +G1|LED<7>|IOB|IO_L05N_3/LHCLK3/IRDY2|OUTPUT|LVCMOS25*|3|12|SLOW|NONE**|||LOCATED|NO|NONE| +G2|||GND|||||||||||| +G3||DIFFM|IO_L06P_3/LHCLK4/TRDY2|UNUSED||3||||||||| +G12||IBUF|IP/VREF_1|UNUSED||1||||||||| +G13|RGB<3>|IOB|IO_L07N_1/A3/RHCLK7|OUTPUT|LVCMOS33|1|8|FAST|NONE**|||LOCATED|NO|NONE| +G14|RGB<4>|IOB|IO_L07P_1/A4/RHCLK6|OUTPUT|LVCMOS33|1|8|FAST|NONE**|||LOCATED|NO|NONE| +H1||DIFFS|IO_L06N_3/LHCLK5|UNUSED||3||||||||| +H2||DIFFM|IO_L07P_3/LHCLK6|UNUSED||3||||||||| +H3||DIFFS|IO_L07N_3/LHCLK7|UNUSED||3||||||||| +H12||DIFFS|IO_L06N_1/A5/RHCLK5|UNUSED||1||||||||| +H13|RGB<0>|IOB|IO_L06P_1/A6/RHCLK4/IRDY1|OUTPUT|LVCMOS33|1|8|FAST|NONE**|||LOCATED|NO|NONE| +H14|||GND|||||||||||| +J1|||GND|||||||||||| +J2|||VCCO_3|||3|||||2.50|||| +J3||DIFFS|IO|UNUSED||3||||||||| +J12||DIFFS|IO_L04N_1/A9/RHCLK1|UNUSED||1||||||||| +J13|RGB<1>|IOB|IO_L05P_1/A8/RHCLK2|OUTPUT|LVCMOS33|1|8|FAST|NONE**|||LOCATED|NO|NONE| +J14|HS|IOB|IO_L05N_1/A7/RHCLK3/TRDY1|OUTPUT|LVCMOS33|1|8|FAST|NONE**|||LOCATED|NO|NONE| +K1|||VCCAUX||||||||2.5|||| +K2|||NC|||||||||||| +K3||IOB|IO/VREF_3|UNUSED||3||||||||| +K12|||GND|||||||||||| +K13|VS|IOB|IO/VREF_1|OUTPUT|LVCMOS33|1|8|FAST|NONE**|||LOCATED|NO|NONE| +K14||DIFFM|IO_L04P_1/A10/RHCLK0|UNUSED||1||||||||| +L1||DIFFM|IO_L08P_3|UNUSED||3||||||||| +L2||DIFFS|IO_L08N_3|UNUSED||3||||||||| +L3||DIFFM|IO_L09P_3|UNUSED||3||||||||| +L12|||VCCINT||||||||1.2|||| +L13||DIFFM|IO_L03P_1/A12|UNUSED||1||||||||| +L14||DIFFS|IO_L03N_1/A11|UNUSED||1||||||||| +M1||DIFFS|IO_L09N_3|UNUSED||3||||||||| +M2||DIFFM|IO_L01P_2/CSO_B|UNUSED||2||||||||| +M3|||GND|||||||||||| +M4||DIFFM|IO_L03P_2/D7/GCLK12|UNUSED||2||||||||| +M5|LED<0>|IOB|IO_L04P_2/D4/GCLK14|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||LOCATED|NO|NONE| +M6|CLK|IBUF|IP_L05P_2/RDWR_B/GCLK0|INPUT|LVCMOS25*|2||||NONE||LOCATED|NO|NONE| +M7|||GND|||||||||||| +M8|||VCCO_2|||2|||||2.50|||| +M9||DIFFS|IO_L08N_2/A22|UNUSED||2||||||||| +M10||DIFFS|IO_L09N_2/A20|UNUSED||2||||||||| +M11|LED<1>|IOB|IO_L10N_2/VS1/A18|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||LOCATED|NO|NONE| +M12||DIFFM|IO_L02P_1/A14|UNUSED||1||||||||| +M13||DIFFS|IO_L02N_1/A13|UNUSED||1||||||||| +M14|||VCCO_1|||1|||||3.30|||| +N1||DIFFS|IO_L01N_2/INIT_B|UNUSED||2||||||||| +N2||DIFFS|IO_L02N_2/MOSI/CSI_B|UNUSED||2||||||||| +N3||DIFFSI|IP/VREF_2|UNUSED||2||||||||| +N4|LED<5>|IOB|IO_L03N_2/D6/GCLK13|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||LOCATED|NO|NONE| +N5|LED<4>|IOB|IO_L04N_2/D3/GCLK15|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||LOCATED|NO|NONE| +N6||DIFFSI|IP_L05N_2/M2/GCLK1|UNUSED||2||||||||| +N7||IOB|IO/M1|UNUSED||2||||||||| +N8||DIFFS|IO_L07N_2/DIN/D0|UNUSED||2||||||||| +N9||DIFFM|IO_L08P_2/A23|UNUSED||2||||||||| +N10||DIFFM|IO_L09P_2/A21|UNUSED||2||||||||| +N11||DIFFM|IO_L10P_2/VS2/A19|UNUSED||2||||||||| +N12||DIFFS|IO_L11N_2/CCLK|UNUSED||2||||||||| +N13||DIFFM|IO_L01P_1/A16|UNUSED||1||||||||| +N14||DIFFS|IO_L01N_1/A15|UNUSED||1||||||||| +P1||DIFFM|IO_L02P_2/DOUT/BUSY|UNUSED||2||||||||| +P2|||VCCINT||||||||1.2|||| +P3|||VCCO_2|||2|||||2.50|||| +P4|LED<6>|IOB|IO/D5|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||LOCATED|NO|NONE| +P5|||GND|||||||||||| +P6|LED<3>|IOB|IO_L06P_2/D2/GCLK2|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||LOCATED|NO|NONE| +P7|LED<2>|IOB|IO_L06N_2/D1/GCLK3|OUTPUT|LVCMOS25*|2|12|SLOW|NONE**|||LOCATED|NO|NONE| +P8||DIFFM|IO_L07P_2/M0|UNUSED||2||||||||| +P9|||VCCAUX||||||||2.5|||| +P10|||GND|||||||||||| +P11||IOB|IO/VREF_2|UNUSED||2||||||||| +P12||DIFFM|IO_L11P_2/VS0/A17|UNUSED||2||||||||| +P13|||DONE|||||||||||| +P14|||GND|||||||||||| + +-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----| + +* Default value. +** This default Pullup/Pulldown value can be overridden in Bitgen. +****** Special VCCO requirements may apply. Please consult the device + family datasheet for specific guideline on VCCO requirements. + + diff --git a/main.par b/main.par new file mode 100644 index 0000000..6304572 --- /dev/null +++ b/main.par @@ -0,0 +1,209 @@ +Release 14.1 par P.15xf (nt) +Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. + +ALECO-PC:: Thu Feb 21 20:21:13 2013 + +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf + + +Constraints file: main.pcf. +Loading device for application Rf_Device from file '3s250e.nph' in environment C:\Xilinx\14.1\ISE_DS\ISE\. + "main" is an NCD, version 3.2, device xc3s250e, package cp132, speed -5 + +Initializing temperature to 85.000 Celsius. (default - Range: -40.000 to 100.000 Celsius) +Initializing voltage to 1.140 Volts. (default - Range: 1.140 to 1.320 Volts) + +INFO:Par:282 - No user timing constraints were detected or you have set the option to ignore timing constraints ("par + -x"). Place and Route will run in "Performance Evaluation Mode" to automatically improve the performance of all + internal clocks in this design. Because there are not defined timing requirements, a timing score will not be + reported in the PAR report in this mode. The PAR timing summary will list the performance achieved for each clock. + Note: For the fastest runtime, set the effort level to "std". For best performance, set the effort level to "high". + +Device speed data version: "PRODUCTION 1.27 2012-04-23". + + +Design Summary Report: + + Number of External IOBs 19 out of 92 20% + + Number of External Input IOBs 1 + + Number of External Input IBUFs 1 + Number of LOCed External Input IBUFs 1 out of 1 100% + + + Number of External Output IOBs 18 + + Number of External Output IOBs 18 + Number of LOCed External Output IOBs 18 out of 18 100% + + + Number of External Bidir IOBs 0 + + + Number of BUFGMUXs 1 out of 24 4% + Number of Slices 52 out of 2448 2% + Number of SLICEMs 0 out of 1224 0% + + + +Overall effort level (-ol): High +Placer effort level (-pl): High +Placer cost table entry (-t): 1 +Router effort level (-rl): High + +Starting initial Timing Analysis. REAL time: 1 secs +Finished initial Timing Analysis. REAL time: 1 secs + + +Starting Placer +Total REAL time at the beginning of Placer: 1 secs +Total CPU time at the beginning of Placer: 1 secs + +Phase 1.1 Initial Placement Analysis +Phase 1.1 Initial Placement Analysis (Checksum:130c5420) REAL time: 1 secs + +Phase 2.7 Design Feasibility Check +Phase 2.7 Design Feasibility Check (Checksum:130c5420) REAL time: 1 secs + +Phase 3.31 Local Placement Optimization +Phase 3.31 Local Placement Optimization (Checksum:130c5420) REAL time: 1 secs + +Phase 4.2 Initial Clock and IO Placement + +Phase 4.2 Initial Clock and IO Placement (Checksum:2104b6b4) REAL time: 1 secs + +Phase 5.30 Global Clock Region Assignment +Phase 5.30 Global Clock Region Assignment (Checksum:2104b6b4) REAL time: 1 secs + +Phase 6.36 Local Placement Optimization +Phase 6.36 Local Placement Optimization (Checksum:2104b6b4) REAL time: 1 secs + +Phase 7.8 Global Placement +......... +.. +Phase 7.8 Global Placement (Checksum:5dd09b6d) REAL time: 4 secs + +Phase 8.5 Local Placement Optimization +Phase 8.5 Local Placement Optimization (Checksum:5dd09b6d) REAL time: 4 secs + +Phase 9.18 Placement Optimization +Phase 9.18 Placement Optimization (Checksum:4e40a4b4) REAL time: 4 secs + +Phase 10.5 Local Placement Optimization +Phase 10.5 Local Placement Optimization (Checksum:4e40a4b4) REAL time: 4 secs + +Total REAL time to Placer completion: 4 secs +Total CPU time to Placer completion: 4 secs +Writing design to file main.ncd + + + +Starting Router + + +Phase 1 : 319 unrouted; REAL time: 7 secs + +Phase 2 : 284 unrouted; REAL time: 7 secs + +Phase 3 : 35 unrouted; REAL time: 7 secs + +Phase 4 : 69 unrouted; (Par is working to improve performance) REAL time: 7 secs + +Phase 5 : 0 unrouted; (Par is working to improve performance) REAL time: 7 secs + +Updating file: main.ncd with current fully routed design. + +Phase 6 : 0 unrouted; (Par is working to improve performance) REAL time: 7 secs + +Phase 7 : 0 unrouted; (Par is working to improve performance) REAL time: 9 secs + +Updating file: main.ncd with current fully routed design. + +Phase 8 : 0 unrouted; (Par is working to improve performance) REAL time: 10 secs + +Phase 9 : 0 unrouted; (Par is working to improve performance) REAL time: 10 secs + +Phase 10 : 0 unrouted; (Par is working to improve performance) REAL time: 10 secs + +Phase 11 : 0 unrouted; (Par is working to improve performance) REAL time: 10 secs + +Phase 12 : 0 unrouted; (Par is working to improve performance) REAL time: 10 secs +WARNING:Route:455 - CLK Net:VGADriver/W may have excessive skew because + 2 CLK pins and 0 NON_CLK pins failed to route using a CLK template. + +Total REAL time to Router completion: 10 secs +Total CPU time to Router completion: 9 secs + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +Generating "PAR" statistics. + +************************** +Generating Clock Report +************************** + ++---------------------+--------------+------+------+------------+-------------+ +| Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)| ++---------------------+--------------+------+------+------------+-------------+ +| CLK_BUFGP | BUFGMUX_X2Y1| No | 25 | 0.037 | 0.098 | ++---------------------+--------------+------+------+------------+-------------+ +| VGADriver/W | Local| | 2 | 0.000 | 1.219 | ++---------------------+--------------+------+------+------------+-------------+ + +* Net Skew is the difference between the minimum and maximum routing +only delays for the net. Note this is different from Clock Skew which +is reported in TRCE timing report. Clock Skew is the difference between +the minimum and maximum path delays which includes logic delays. + +* The fanout is the number of component pins not the individual BEL loads, +for example SLICE loads not FF loads. + +Timing Score: 0 (Setup: 0, Hold: 0) + +Asterisk (*) preceding a constraint indicates it was not met. + This may be due to a setup or hold violation. + +---------------------------------------------------------------------------------------------------------- + Constraint | Check | Worst Case | Best Case | Timing | Timing + | | Slack | Achievable | Errors | Score +---------------------------------------------------------------------------------------------------------- + Autotimespec constraint for clock net CLK | SETUP | N/A| 5.493ns| N/A| 0 + _BUFGP | HOLD | 1.410ns| | 0| 0 +---------------------------------------------------------------------------------------------------------- + + +All constraints were met. +INFO:Timing:2761 - N/A entries in the Constraints List may indicate that the + constraint is not analyzed due to the following: No paths covered by this + constraint; Other constraints intersect with this constraint; or This + constraint was disabled by a Path Tracing Control. Please run the Timespec + Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. + + +Generating Pad Report. + +All signals are completely routed. + +Total REAL time to PAR completion: 10 secs +Total CPU time to PAR completion: 9 secs + +Peak Memory Usage: 228 MB + +Placement: Completed - No errors found. +Routing: Completed - No errors found. + +Number of error messages: 0 +Number of warning messages: 1 +Number of info messages: 1 + +Writing design to file main.ncd + + + +PAR done! diff --git a/main.pcf b/main.pcf new file mode 100644 index 0000000..52f804c --- /dev/null +++ b/main.pcf @@ -0,0 +1,27 @@ +//! ************************************************************************** +// Written by: Map P.15xf on Thu Feb 21 20:21:10 2013 +//! ************************************************************************** + +SCHEMATIC START; +COMP "HS" LOCATE = SITE "J14" LEVEL 1; +COMP "LED<0>" LOCATE = SITE "M5" LEVEL 1; +COMP "LED<1>" LOCATE = SITE "M11" LEVEL 1; +COMP "LED<2>" LOCATE = SITE "P7" LEVEL 1; +COMP "RGB<0>" LOCATE = SITE "H13" LEVEL 1; +COMP "LED<3>" LOCATE = SITE "P6" LEVEL 1; +COMP "RGB<1>" LOCATE = SITE "J13" LEVEL 1; +COMP "LED<4>" LOCATE = SITE "N5" LEVEL 1; +COMP "RGB<2>" LOCATE = SITE "F14" LEVEL 1; +COMP "LED<5>" LOCATE = SITE "N4" LEVEL 1; +COMP "RGB<3>" LOCATE = SITE "G13" LEVEL 1; +COMP "LED<6>" LOCATE = SITE "P4" LEVEL 1; +COMP "RGB<4>" LOCATE = SITE "G14" LEVEL 1; +COMP "LED<7>" LOCATE = SITE "G1" LEVEL 1; +COMP "RGB<5>" LOCATE = SITE "C14" LEVEL 1; +COMP "RGB<6>" LOCATE = SITE "D13" LEVEL 1; +COMP "VS" LOCATE = SITE "K13" LEVEL 1; +COMP "RGB<7>" LOCATE = SITE "F13" LEVEL 1; +COMP "CLK" LOCATE = SITE "M6" LEVEL 1; +NET "CLK_BUFGP/IBUFG" BEL "CLK_BUFGP/BUFG.GCLKMUX" USELOCALCONNECT; +SCHEMATIC END; + diff --git a/main.prj b/main.prj new file mode 100644 index 0000000..f697910 --- /dev/null +++ b/main.prj @@ -0,0 +1,2 @@ +vhdl work "vga.vhd" +vhdl work "main.vhd" diff --git a/main.ptwx b/main.ptwx new file mode 100644 index 0000000..f84f420 --- /dev/null +++ b/main.ptwx @@ -0,0 +1,332 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +]> +Autotimespec constraint for clock net CLK_BUFGP0INFO:Timing:2761 - N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. diff --git a/main.stx b/main.stx new file mode 100644 index 0000000..e69de29 diff --git a/main.syr b/main.syr new file mode 100644 index 0000000..8a23c53 --- /dev/null +++ b/main.syr @@ -0,0 +1,423 @@ +Release 14.1 - xst P.15xf (nt) +Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. +--> Parameter TMPDIR set to xst/projnav.tmp + + +Total REAL time to Xst completion: 0.00 secs +Total CPU time to Xst completion: 0.11 secs + +--> Parameter xsthdpdir set to xst + + +Total REAL time to Xst completion: 0.00 secs +Total CPU time to Xst completion: 0.11 secs + +--> Reading design: main.prj + +TABLE OF CONTENTS + 1) Synthesis Options Summary + 2) HDL Compilation + 3) Design Hierarchy Analysis + 4) HDL Analysis + 5) HDL Synthesis + 5.1) HDL Synthesis Report + 6) Advanced HDL Synthesis + 6.1) Advanced HDL Synthesis Report + 7) Low Level Synthesis + 8) Partition Report + 9) Final Report + 9.1) Device utilization summary + 9.2) Partition Resource Summary + 9.3) TIMING REPORT + + +========================================================================= +* Synthesis Options Summary * +========================================================================= +---- Source Parameters +Input File Name : "main.prj" +Input Format : mixed +Ignore Synthesis Constraint File : NO + +---- Target Parameters +Output File Name : "main" +Output Format : NGC +Target Device : xc3s250e-5-cp132 + +---- Source Options +Top Module Name : main +Automatic FSM Extraction : YES +FSM Encoding Algorithm : Auto +Safe Implementation : No +FSM Style : LUT +RAM Extraction : Yes +RAM Style : Auto +ROM Extraction : Yes +Mux Style : Auto +Decoder Extraction : YES +Priority Encoder Extraction : Yes +Shift Register Extraction : YES +Logical Shifter Extraction : YES +XOR Collapsing : YES +ROM Style : Auto +Mux Extraction : Yes +Resource Sharing : YES +Asynchronous To Synchronous : NO +Multiplier Style : Auto +Automatic Register Balancing : No + +---- Target Options +Add IO Buffers : YES +Global Maximum Fanout : 100000 +Add Generic Clock Buffer(BUFG) : 24 +Register Duplication : YES +Slice Packing : YES +Optimize Instantiated Primitives : NO +Use Clock Enable : Yes +Use Synchronous Set : Yes +Use Synchronous Reset : Yes +Pack IO Registers into IOBs : Auto +Equivalent register Removal : YES + +---- General Options +Optimization Goal : Speed +Optimization Effort : 1 +Keep Hierarchy : No +Netlist Hierarchy : As_Optimized +RTL Output : Yes +Global Optimization : AllClockNets +Read Cores : YES +Write Timing Constraints : NO +Cross Clock Analysis : NO +Hierarchy Separator : / +Bus Delimiter : <> +Case Specifier : Maintain +Slice Utilization Ratio : 100 +BRAM Utilization Ratio : 100 +Verilog 2001 : YES +Auto BRAM Packing : NO +Slice Utilization Ratio Delta : 5 + +========================================================================= + + +========================================================================= +* HDL Compilation * +========================================================================= +Compiling vhdl file "C:/fpga/pong/vga.vhd" in Library work. +Entity compiled. +Entity (Architecture ) compiled. +Compiling vhdl file "C:/fpga/pong/main.vhd" in Library work. +Architecture behavioral of Entity main is up to date. + +========================================================================= +* Design Hierarchy Analysis * +========================================================================= +Analyzing hierarchy for entity
in library (architecture ). + +Analyzing hierarchy for entity in library (architecture ). + + +========================================================================= +* HDL Analysis * +========================================================================= +Analyzing Entity
in library (Architecture ). +WARNING:Xst:819 - "C:/fpga/pong/main.vhd" line 57: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are: + , , +Entity
analyzed. Unit
generated. + +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + + +========================================================================= +* HDL Synthesis * +========================================================================= + +Performing bidirectional port resolution... + +Synthesizing Unit . + Related source file is "C:/fpga/pong/vga.vhd". +WARNING:Xst:1305 - Output is never assigned. Tied to value 00000000. + Found 1-bit register for signal . + Found 1-bit register for signal . + Found 10-bit register for signal . + Found 10-bit register for signal . + Found 1-bit register for signal . + Found 8-bit register for signal . + Found 10-bit up counter for signal . + Found 11-bit comparator greater for signal created at line 44. + Found 11-bit comparator less for signal created at line 44. + Found 10-bit up counter for signal . + Found 11-bit comparator greater for signal created at line 50. + Found 11-bit comparator less for signal created at line 50. + Found 11-bit comparator greatequal for signal created at line 32. + Found 11-bit comparator greatequal for signal created at line 32. + Found 11-bit comparator less for signal created at line 32. + Found 11-bit comparator less for signal created at line 32. + Found 10-bit adder for signal created at line 37. + Found 10-bit subtractor for signal created at line 37. + Found 10-bit adder for signal created at line 38. + Found 10-bit subtractor for signal created at line 38. + Summary: + inferred 2 Counter(s). + inferred 31 D-type flip-flop(s). + inferred 4 Adder/Subtractor(s). + inferred 8 Comparator(s). +Unit synthesized. + + +Synthesizing Unit
. + Related source file is "C:/fpga/pong/main.vhd". +WARNING:Xst:737 - Found 8-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. + Found 11-bit comparator greatequal for signal created at line 59. + Found 11-bit comparator greatequal for signal created at line 59. + Found 11-bit comparator lessequal for signal created at line 59. + Found 11-bit comparator lessequal for signal created at line 59. + Summary: + inferred 4 Comparator(s). +Unit
synthesized. + + +========================================================================= +HDL Synthesis Report + +Macro Statistics +# Adders/Subtractors : 4 + 10-bit adder : 2 + 10-bit subtractor : 2 +# Counters : 2 + 10-bit up counter : 2 +# Registers : 6 + 1-bit register : 3 + 10-bit register : 2 + 8-bit register : 1 +# Latches : 1 + 8-bit latch : 1 +# Comparators : 12 + 11-bit comparator greatequal : 4 + 11-bit comparator greater : 2 + 11-bit comparator less : 4 + 11-bit comparator lessequal : 2 + +========================================================================= + +========================================================================= +* Advanced HDL Synthesis * +========================================================================= + + +========================================================================= +Advanced HDL Synthesis Report + +Macro Statistics +# Adders/Subtractors : 4 + 10-bit adder : 2 + 10-bit subtractor : 2 +# Counters : 2 + 10-bit up counter : 2 +# Registers : 31 + Flip-Flops : 31 +# Latches : 1 + 8-bit latch : 1 +# Comparators : 12 + 11-bit comparator greatequal : 4 + 11-bit comparator greater : 2 + 11-bit comparator less : 4 + 11-bit comparator lessequal : 2 + +========================================================================= + +========================================================================= +* Low Level Synthesis * +========================================================================= +INFO:Xst:2261 - The FF/Latch <7> in Unit is equivalent to the following 4 FFs/Latches, which will be removed : <6> <5> <1> <0> +INFO:Xst:2261 - The FF/Latch <4> in Unit is equivalent to the following 2 FFs/Latches, which will be removed : <3> <2> + +Optimizing unit
... + +Optimizing unit ... + +Mapping all equations... +Building and optimizing final netlist ... +INFO:Xst:2261 - The FF/Latch in Unit
is equivalent to the following 2 FFs/Latches, which will be removed : +INFO:Xst:2261 - The FF/Latch in Unit
is equivalent to the following 4 FFs/Latches, which will be removed : +Found area constraint ratio of 100 (+ 5) on block main, actual ratio is 2. + +Final Macro Processing ... + +========================================================================= +Final Register Report + +Macro Statistics +# Registers : 45 + Flip-Flops : 45 + +========================================================================= + +========================================================================= +* Partition Report * +========================================================================= + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +========================================================================= +* Final Report * +========================================================================= +Final Results +RTL Top Level Output File Name : main.ngr +Top Level Output File Name : main +Output Format : NGC +Optimization Goal : Speed +Keep Hierarchy : No + +Design Statistics +# IOs : 19 + +Cell Usage : +# BELS : 189 +# GND : 1 +# INV : 11 +# LUT1 : 33 +# LUT2 : 1 +# LUT2_L : 1 +# LUT3 : 6 +# LUT3_L : 3 +# LUT4 : 29 +# LUT4_D : 1 +# LUT4_L : 4 +# MUXCY : 45 +# MUXF5 : 3 +# VCC : 1 +# XORCY : 50 +# FlipFlops/Latches : 47 +# FD : 1 +# FDE : 20 +# FDR : 13 +# FDRE : 10 +# FDS : 1 +# LD : 2 +# Clock Buffers : 1 +# BUFGP : 1 +# IO Buffers : 18 +# OBUF : 18 +========================================================================= + +Device utilization summary: +--------------------------- + +Selected Device : 3s250ecp132-5 + + Number of Slices: 50 out of 2448 2% + Number of Slice Flip Flops: 47 out of 4896 0% + Number of 4 input LUTs: 89 out of 4896 1% + Number of IOs: 19 + Number of bonded IOBs: 19 out of 92 20% + Number of GCLKs: 1 out of 24 4% + +--------------------------- +Partition Resource Summary: +--------------------------- + + No Partitions were found in this design. + +--------------------------- + + +========================================================================= +TIMING REPORT + +NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE. + FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT + GENERATED AFTER PLACE-and-ROUTE. + +Clock Information: +------------------ +-----------------------------------+------------------------+-------+ +Clock Signal | Clock buffer(FF name) | Load | +-----------------------------------+------------------------+-------+ +VGADriver/W | NONE(inrgb_4) | 2 | +CLK | BUFGP | 45 | +-----------------------------------+------------------------+-------+ +INFO:Xst:2169 - HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems. + +Asynchronous Control Signals Information: +---------------------------------------- +No asynchronous control signals found in this design + +Timing Summary: +--------------- +Speed Grade: -5 + + Minimum period: 5.905ns (Maximum Frequency: 169.349MHz) + Minimum input arrival time before clock: No path found + Maximum output required time after clock: 4.221ns + Maximum combinational path delay: No path found + +Timing Detail: +-------------- +All values displayed in nanoseconds (ns) + +========================================================================= +Timing constraint: Default period analysis for Clock 'CLK' + Clock period: 5.905ns (frequency: 169.349MHz) + Total number of paths / destination ports: 1505 / 95 +------------------------------------------------------------------------- +Delay: 5.905ns (Levels of Logic = 4) + Source: VGADriver/vert_6 (FF) + Destination: VGADriver/Y_9 (FF) + Source Clock: CLK rising + Destination Clock: CLK rising + + Data Path: VGADriver/vert_6 to VGADriver/Y_9 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + FDRE:C->Q 4 0.514 0.651 VGADriver/vert_6 (VGADriver/vert_6) + LUT2_L:I0->LO 1 0.612 0.103 VGADriver/vert_cmp_eq00001_SW0 (VGADriver/X_and000093) + LUT4:I3->O 3 0.612 0.454 VGADriver/vert_cmp_eq00001 (VGADriver/N7) + LUT4_D:I3->O 4 0.612 0.502 VGADriver/X_and0000136 (VGADriver/X_and0000136) + LUT4:I3->O 10 0.612 0.750 VGADriver/X_and0000151_2 (VGADriver/X_and0000151_1) + FDE:CE 0.483 VGADriver/Y_0 + ---------------------------------------- + Total 5.905ns (3.445ns logic, 2.460ns route) + (58.3% logic, 41.7% route) + +========================================================================= +Timing constraint: Default OFFSET OUT AFTER for Clock 'CLK' + Total number of paths / destination ports: 10 / 10 +------------------------------------------------------------------------- +Offset: 4.221ns (Levels of Logic = 1) + Source: VGADriver/RGB_7 (FF) + Destination: RGB<7> (PAD) + Source Clock: CLK rising + + Data Path: VGADriver/RGB_7 to RGB<7> + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + FDS:C->Q 5 0.514 0.538 VGADriver/RGB_7 (VGADriver/RGB_7) + OBUF:I->O 3.169 RGB_0_OBUF (RGB<0>) + ---------------------------------------- + Total 4.221ns (3.683ns logic, 0.538ns route) + (87.3% logic, 12.7% route) + +========================================================================= + + +Total REAL time to Xst completion: 6.00 secs +Total CPU time to Xst completion: 5.42 secs + +--> + +Total memory usage is 217424 kilobytes + +Number of errors : 0 ( 0 filtered) +Number of warnings : 3 ( 0 filtered) +Number of infos : 5 ( 0 filtered) + diff --git a/main.twr b/main.twr new file mode 100644 index 0000000..a6f0af3 --- /dev/null +++ b/main.twr @@ -0,0 +1,78 @@ +-------------------------------------------------------------------------------- +Release 14.1 Trace (nt) +Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. + +C:\Xilinx\14.1\ISE_DS\ISE\bin\nt\unwrapped\trce.exe -intstyle ise -v 3 -s 5 -n +3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf + +Design file: main.ncd +Physical constraint file: main.pcf +Device,package,speed: xc3s250e,cp132,-5 (PRODUCTION 1.27 2012-04-23) +Report level: verbose report + +Environment Variable Effect +-------------------- ------ +NONE No environment variables were set +-------------------------------------------------------------------------------- + +INFO:Timing:2698 - No timing constraints found, doing default enumeration. +INFO:Timing:3412 - To improve timing, see the Timing Closure User Guide (UG612). +INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths + option. All paths that are not constrained will be reported in the + unconstrained paths section(s) of the report. +INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on + a 50 Ohm transmission line loading model. For the details of this model, + and for more information on accounting for different loading conditions, + please see the device datasheet. +INFO:Timing:3390 - This architecture does not support a default System Jitter + value, please add SYSTEM_JITTER constraint to the UCF to modify the Clock + Uncertainty calculation. +INFO:Timing:3389 - This architecture does not support 'Discrete Jitter' and + 'Phase Error' calculations, these terms will be zero in the Clock + Uncertainty calculation. Please make appropriate modification to + SYSTEM_JITTER to account for the unsupported Discrete Jitter and Phase + Error. + + + +Data Sheet report: +----------------- +All values displayed in nanoseconds (ns) + +Clock CLK to Pad +------------+------------+------------------+--------+ + | clk (edge) | | Clock | +Destination | to PAD |Internal Clock(s) | Phase | +------------+------------+------------------+--------+ +HS | 6.320(R)|CLK_BUFGP | 0.000| +RGB<0> | 7.061(R)|CLK_BUFGP | 0.000| +RGB<1> | 7.052(R)|CLK_BUFGP | 0.000| +RGB<2> | 7.348(R)|CLK_BUFGP | 0.000| +RGB<3> | 7.565(R)|CLK_BUFGP | 0.000| +RGB<4> | 7.538(R)|CLK_BUFGP | 0.000| +RGB<5> | 8.606(R)|CLK_BUFGP | 0.000| +RGB<6> | 8.389(R)|CLK_BUFGP | 0.000| +RGB<7> | 7.981(R)|CLK_BUFGP | 0.000| +VS | 6.627(R)|CLK_BUFGP | 0.000| +------------+------------+------------------+--------+ + +Clock to Setup on destination clock CLK +---------------+---------+---------+---------+---------+ + | Src:Rise| Src:Fall| Src:Rise| Src:Fall| +Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| +---------------+---------+---------+---------+---------+ +CLK | 5.493| | | | +---------------+---------+---------+---------+---------+ + + +Analysis completed Thu Feb 21 20:21:26 2013 +-------------------------------------------------------------------------------- + +Trace Settings: +------------------------- +Trace Settings + +Peak Memory Usage: 129 MB + + + diff --git a/main.twx b/main.twx new file mode 100644 index 0000000..e496d42 --- /dev/null +++ b/main.twx @@ -0,0 +1,338 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +]> +Release 14.1 Trace (nt)Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved.C:\Xilinx\14.1\ISE_DS\ISE\bin\nt\unwrapped\trce.exe -intstyle ise -v 3 -s 5 -n +3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf + +main.ncdmain.ncdmain.pcfmain.pcfxc3s250e-5PRODUCTION 1.27 2012-04-233INFO:Timing:2698 - No timing constraints found, doing default enumeration.INFO:Timing:3412 - To improve timing, see the Timing Closure User Guide (UG612).INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.INFO:Timing:3390 - This architecture does not support a default System Jitter value, please add SYSTEM_JITTER constraint to the UCF to modify the Clock Uncertainty calculation.INFO:Timing:3389 - This architecture does not support 'Discrete Jitter' and 'Phase Error' calculations, these terms will be zero in the Clock Uncertainty calculation. Please make appropriate modification to SYSTEM_JITTER to account for the unsupported Discrete Jitter and Phase Error.CLKCLKCLK5.493Thu Feb 21 20:21:26 2013 TraceTrace Settings + +Peak Memory Usage: 129 MB + diff --git a/main.unroutes b/main.unroutes new file mode 100644 index 0000000..df23974 --- /dev/null +++ b/main.unroutes @@ -0,0 +1,9 @@ +Release 14.1 - par P.15xf (nt) +Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. + +Thu Feb 21 20:21:23 2013 + +All signals are completely routed. + + + diff --git a/main.ut b/main.ut new file mode 100644 index 0000000..1c9e99e --- /dev/null +++ b/main.ut @@ -0,0 +1,22 @@ +-w +-g DebugBitstream:No +-g Binary:no +-g CRC:Enable +-g ConfigRate:1 +-g ProgPin:PullUp +-g DonePin:PullUp +-g TckPin:PullUp +-g TdiPin:PullUp +-g TdoPin:PullUp +-g TmsPin:PullUp +-g UnusedPin:PullDown +-g UserID:0xFFFFFFFF +-g DCMShutdown:Disable +-g StartUpClk:CClk +-g DONE_cycle:4 +-g GTS_cycle:5 +-g GWE_cycle:6 +-g LCK_cycle:NoWait +-g Security:None +-g DonePipe:Yes +-g DriveDone:No diff --git a/main.vhd b/main.vhd new file mode 100644 index 0000000..a0ea709 --- /dev/null +++ b/main.vhd @@ -0,0 +1,68 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + +entity main is + port ( + CLK : in std_logic; + + LED : out std_logic_vector(7 downto 0); + RGB : out std_logic_vector(7 downto 0); + + HS : out std_logic; + VS : out std_logic + ); +end main; + +architecture Behavioral of main is + component vga is + port ( + CLK : in std_logic; + + LED : out std_logic_vector(7 downto 0); + + IRGB : in std_logic_vector(7 downto 0); + + RGB : out std_logic_vector(7 downto 0); + + W : out std_logic; + X : out std_logic_vector(9 downto 0); + Y : out std_logic_vector(9 downto 0); + + VS : out std_logic; + HS : out std_logic + ); + end component; + + signal inrgb : std_logic_vector(7 downto 0) := "00000000"; + + signal w : std_logic; + signal x : std_logic_vector(9 downto 0); + signal y : std_logic_vector(9 downto 0); +begin + + VGADriver : component vga port map ( + CLK => CLK, + LED => LED, + HS => HS, + VS => VS, + RGB => RGB, + IRGB => inrgb, + W => w, + X => x, + Y => y + ); + + process (CLK) begin + if w = '1' then + if x >= 300 and x <= 340 and y >= 220 and y <= 260 then + inrgb <= "11100011"; + else + inrgb <= "00011100"; + end if; + end if; + end process; + +end Behavioral; + diff --git a/main.xpi b/main.xpi new file mode 100644 index 0000000..d043f7f --- /dev/null +++ b/main.xpi @@ -0,0 +1,3 @@ +PROGRAM=PAR +STATE=ROUTED +TIMESPECS_MET=OFF diff --git a/main.xst b/main.xst new file mode 100644 index 0000000..cfca244 --- /dev/null +++ b/main.xst @@ -0,0 +1,56 @@ +set -tmpdir "xst/projnav.tmp" +set -xsthdpdir "xst" +run +-ifn main.prj +-ifmt mixed +-ofn main +-ofmt NGC +-p xc3s250e-5-cp132 +-top main +-opt_mode Speed +-opt_level 1 +-iuc NO +-keep_hierarchy No +-netlist_hierarchy As_Optimized +-rtlview Yes +-glob_opt AllClockNets +-read_cores YES +-write_timing_constraints NO +-cross_clock_analysis NO +-hierarchy_separator / +-bus_delimiter <> +-case Maintain +-slice_utilization_ratio 100 +-bram_utilization_ratio 100 +-verilog2001 YES +-fsm_extract YES -fsm_encoding Auto +-safe_implementation No +-fsm_style LUT +-ram_extract Yes +-ram_style Auto +-rom_extract Yes +-mux_style Auto +-decoder_extract YES +-priority_extract Yes +-shreg_extract YES +-shift_extract YES +-xor_collapse YES +-rom_style Auto +-auto_bram_packing NO +-mux_extract Yes +-resource_sharing YES +-async_to_sync NO +-mult_style Auto +-iobuf YES +-max_fanout 100000 +-bufg 24 +-register_duplication YES +-register_balancing No +-slice_packing YES +-optimize_primitives NO +-use_clock_enable Yes +-use_sync_set Yes +-use_sync_reset Yes +-iob Auto +-equivalent_register_removal YES +-slice_utilization_ratio_maxmargin 5 diff --git a/main_bitgen.xwbt b/main_bitgen.xwbt new file mode 100644 index 0000000..568bb3c --- /dev/null +++ b/main_bitgen.xwbt @@ -0,0 +1,8 @@ +INTSTYLE=ise +INFILE=C:\fpga\pong\main.ncd +OUTFILE=C:\fpga\pong\main.bit +FAMILY=Spartan3E +PART=xc3s250e-5cp132 +WORKINGDIR=C:\fpga\pong +LICENSE=WebPack +USER_INFO=__0_0_0 diff --git a/main_envsettings.html b/main_envsettings.html new file mode 100644 index 0000000..ff5340f --- /dev/null +++ b/main_envsettings.html @@ -0,0 +1,509 @@ +Xilinx System Settings Report + +
System Settings

+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Environment Settings
Environment Variablexstngdbuildmappar
PATHEXT.COM;
.EXE;
.BAT;
.CMD;
.VBS;
.VBE;
.JS;
.JSE;
.WSF;
.WSH;
.MSC
.COM;
.EXE;
.BAT;
.CMD;
.VBS;
.VBE;
.JS;
.JSE;
.WSF;
.WSH;
.MSC
.COM;
.EXE;
.BAT;
.CMD;
.VBS;
.VBE;
.JS;
.JSE;
.WSF;
.WSH;
.MSC
.COM;
.EXE;
.BAT;
.CMD;
.VBS;
.VBE;
.JS;
.JSE;
.WSF;
.WSH;
.MSC
PathC:\Xilinx\14.1\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.1\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.1\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.1\ISE_DS\ISE\lib\nt;
C:\Xilinx\Vivado\2012.1\bin;
C:\Xilinx\14.1\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.1\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.1\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.1\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.1\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.1\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.1\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.1\ISE_DS\common\bin\nt;
C:\Xilinx\14.1\ISE_DS\common\lib\nt;
C:\GTK\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\windows\system32;
C:\windows;
C:\windows\System32\Wbem;
C:\windows\System32\WindowsPowerShell\v1.0\;
C:\Program Files (x86)\Java\jre6\bin;
c:\Program Files (x86)\Microsoft SQL Server\100\Tools\Binn\;
c:\Program Files\Microsoft SQL Server\100\Tools\Binn\;
c:\Program Files\Microsoft SQL Server\100\DTS\Binn\;
C:\Program Files\TortoiseSVN\bin;
C:\Program Files (x86)\ATI Technologies\ATI.ACE\Core-Static;
C:\Program Files (x86)\VisualSVN\bin;
C:\Program Files (x86)\QuickTime\QTSystem\;
C:\Program Files\MATLAB\R2011a\runtime\win64;
C:\Program Files\MATLAB\R2011a\bin;
C:\PROGRA~1\TIEDUC~1\TI-83P~1\UTILS;
C:\Program Files (x86)\Microsoft ASP.NET\ASP.NET Web Pages\v1.0\;
C:\Program Files\Microsoft SQL Server\110\Tools\Binn\;
C:\Program Files\Microsoft\Web Platform Installer\;
C:\Program Files (x86)\Windows Kits\8.0\Windows Performance Toolkit\;
C:\Program Files (x86)\IVI Foundation\VISA\WinNT\Bin\;
C:\Program Files\IVI Foundation\VISA\Win64\Bin\;
C:\Program Files (x86)\IVI Foundation\VISA\WinNT\Bin;
L:\fortran\g95\bin
C:\Xilinx\14.1\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.1\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.1\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.1\ISE_DS\ISE\lib\nt;
C:\Xilinx\Vivado\2012.1\bin;
C:\Xilinx\14.1\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.1\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.1\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.1\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.1\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.1\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.1\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.1\ISE_DS\common\bin\nt;
C:\Xilinx\14.1\ISE_DS\common\lib\nt;
C:\GTK\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\windows\system32;
C:\windows;
C:\windows\System32\Wbem;
C:\windows\System32\WindowsPowerShell\v1.0\;
C:\Program Files (x86)\Java\jre6\bin;
c:\Program Files (x86)\Microsoft SQL Server\100\Tools\Binn\;
c:\Program Files\Microsoft SQL Server\100\Tools\Binn\;
c:\Program Files\Microsoft SQL Server\100\DTS\Binn\;
C:\Program Files\TortoiseSVN\bin;
C:\Program Files (x86)\ATI Technologies\ATI.ACE\Core-Static;
C:\Program Files (x86)\VisualSVN\bin;
C:\Program Files (x86)\QuickTime\QTSystem\;
C:\Program Files\MATLAB\R2011a\runtime\win64;
C:\Program Files\MATLAB\R2011a\bin;
C:\PROGRA~1\TIEDUC~1\TI-83P~1\UTILS;
C:\Program Files (x86)\Microsoft ASP.NET\ASP.NET Web Pages\v1.0\;
C:\Program Files\Microsoft SQL Server\110\Tools\Binn\;
C:\Program Files\Microsoft\Web Platform Installer\;
C:\Program Files (x86)\Windows Kits\8.0\Windows Performance Toolkit\;
C:\Program Files (x86)\IVI Foundation\VISA\WinNT\Bin\;
C:\Program Files\IVI Foundation\VISA\Win64\Bin\;
C:\Program Files (x86)\IVI Foundation\VISA\WinNT\Bin;
L:\fortran\g95\bin
C:\Xilinx\14.1\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.1\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.1\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.1\ISE_DS\ISE\lib\nt;
C:\Xilinx\Vivado\2012.1\bin;
C:\Xilinx\14.1\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.1\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.1\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.1\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.1\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.1\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.1\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.1\ISE_DS\common\bin\nt;
C:\Xilinx\14.1\ISE_DS\common\lib\nt;
C:\GTK\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\windows\system32;
C:\windows;
C:\windows\System32\Wbem;
C:\windows\System32\WindowsPowerShell\v1.0\;
C:\Program Files (x86)\Java\jre6\bin;
c:\Program Files (x86)\Microsoft SQL Server\100\Tools\Binn\;
c:\Program Files\Microsoft SQL Server\100\Tools\Binn\;
c:\Program Files\Microsoft SQL Server\100\DTS\Binn\;
C:\Program Files\TortoiseSVN\bin;
C:\Program Files (x86)\ATI Technologies\ATI.ACE\Core-Static;
C:\Program Files (x86)\VisualSVN\bin;
C:\Program Files (x86)\QuickTime\QTSystem\;
C:\Program Files\MATLAB\R2011a\runtime\win64;
C:\Program Files\MATLAB\R2011a\bin;
C:\PROGRA~1\TIEDUC~1\TI-83P~1\UTILS;
C:\Program Files (x86)\Microsoft ASP.NET\ASP.NET Web Pages\v1.0\;
C:\Program Files\Microsoft SQL Server\110\Tools\Binn\;
C:\Program Files\Microsoft\Web Platform Installer\;
C:\Program Files (x86)\Windows Kits\8.0\Windows Performance Toolkit\;
C:\Program Files (x86)\IVI Foundation\VISA\WinNT\Bin\;
C:\Program Files\IVI Foundation\VISA\Win64\Bin\;
C:\Program Files (x86)\IVI Foundation\VISA\WinNT\Bin;
L:\fortran\g95\bin
C:\Xilinx\14.1\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.1\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.1\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.1\ISE_DS\ISE\lib\nt;
C:\Xilinx\Vivado\2012.1\bin;
C:\Xilinx\14.1\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.1\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.1\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.1\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.1\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.1\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.1\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.1\ISE_DS\common\bin\nt;
C:\Xilinx\14.1\ISE_DS\common\lib\nt;
C:\GTK\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\windows\system32;
C:\windows;
C:\windows\System32\Wbem;
C:\windows\System32\WindowsPowerShell\v1.0\;
C:\Program Files (x86)\Java\jre6\bin;
c:\Program Files (x86)\Microsoft SQL Server\100\Tools\Binn\;
c:\Program Files\Microsoft SQL Server\100\Tools\Binn\;
c:\Program Files\Microsoft SQL Server\100\DTS\Binn\;
C:\Program Files\TortoiseSVN\bin;
C:\Program Files (x86)\ATI Technologies\ATI.ACE\Core-Static;
C:\Program Files (x86)\VisualSVN\bin;
C:\Program Files (x86)\QuickTime\QTSystem\;
C:\Program Files\MATLAB\R2011a\runtime\win64;
C:\Program Files\MATLAB\R2011a\bin;
C:\PROGRA~1\TIEDUC~1\TI-83P~1\UTILS;
C:\Program Files (x86)\Microsoft ASP.NET\ASP.NET Web Pages\v1.0\;
C:\Program Files\Microsoft SQL Server\110\Tools\Binn\;
C:\Program Files\Microsoft\Web Platform Installer\;
C:\Program Files (x86)\Windows Kits\8.0\Windows Performance Toolkit\;
C:\Program Files (x86)\IVI Foundation\VISA\WinNT\Bin\;
C:\Program Files\IVI Foundation\VISA\Win64\Bin\;
C:\Program Files (x86)\IVI Foundation\VISA\WinNT\Bin;
L:\fortran\g95\bin
XILINXC:\Xilinx\14.1\ISE_DS\ISE\C:\Xilinx\14.1\ISE_DS\ISE\C:\Xilinx\14.1\ISE_DS\ISE\C:\Xilinx\14.1\ISE_DS\ISE\
XILINX_DSPC:\Xilinx\14.1\ISE_DS\ISEC:\Xilinx\14.1\ISE_DS\ISEC:\Xilinx\14.1\ISE_DS\ISEC:\Xilinx\14.1\ISE_DS\ISE
XILINX_EDKC:\Xilinx\14.1\ISE_DS\EDKC:\Xilinx\14.1\ISE_DS\EDKC:\Xilinx\14.1\ISE_DS\EDKC:\Xilinx\14.1\ISE_DS\EDK
XILINX_PLANAHEADC:\Xilinx\14.1\ISE_DS\PlanAheadC:\Xilinx\14.1\ISE_DS\PlanAheadC:\Xilinx\14.1\ISE_DS\PlanAheadC:\Xilinx\14.1\ISE_DS\PlanAhead
XILINX_VIVADOC:\Xilinx\Vivado\2012.1C:\Xilinx\Vivado\2012.1C:\Xilinx\Vivado\2012.1C:\Xilinx\Vivado\2012.1
+

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Synthesis Property Settings
Switch NameProperty NameValueDefault Value
-ifn main.prj 
-ifmt mixedMIXED
-ofn main 
-ofmt NGCNGC
-p xc3s250e-5-cp132 
-top main 
-opt_modeOptimization GoalSpeedSPEED
-opt_levelOptimization Effort11
-iucUse synthesis Constraints FileNONO
-keep_hierarchyKeep HierarchyNoNO
-netlist_hierarchyNetlist HierarchyAs_Optimizedas_optimized
-rtlviewGenerate RTL SchematicYesNO
-glob_optGlobal Optimization GoalAllClockNetsALLCLOCKNETS
-read_coresRead CoresYESYES
-write_timing_constraintsWrite Timing ConstraintsNONO
-cross_clock_analysisCross Clock AnalysisNONO
-bus_delimiterBus Delimiter<><>
-slice_utilization_ratioSlice Utilization Ratio100100%
-bram_utilization_ratioBRAM Utilization Ratio100100%
-verilog2001Verilog 2001YESYES
-fsm_extract YESYES
-fsm_encoding AutoAUTO
-safe_implementation NoNO
-fsm_style LUTLUT
-ram_extract YesYES
-ram_style AutoAUTO
-rom_extract YesYES
-shreg_extract YESYES
-rom_style AutoAUTO
-auto_bram_packing NONO
-resource_sharing YESYES
-async_to_sync NONO
-mult_style AutoAUTO
-iobuf YESYES
-max_fanout 100000500
-bufg 2424
-register_duplication YESYES
-register_balancing NoNO
-optimize_primitives NONO
-use_clock_enable YesYES
-use_sync_set YesYES
-use_sync_reset YesYES
-iob AutoAUTO
-equivalent_register_removal YESYES
-slice_utilization_ratio_maxmargin 50%
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Translation Property Settings
Switch NameProperty NameValueDefault Value
-intstyle iseNone
-dd _ngoNone
-p xc3s250e-cp132-5None
-uc pins.ucfNone
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Map Property Settings
Switch NameProperty NameValueDefault Value
-irUse RLOC ConstraintsOFFOFF
-cmOptimization Strategy (Cover Mode)areaarea
-intstyle iseNone
-o main_map.ncdNone
-prPack I/O Registers/Latches into IOBsoffoff
-p xc3s250e-cp132-5None
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Place and Route Property Settings
Switch NameProperty NameValueDefault Value
-t 11
-intstyle ise 
-olPlace & Route Effort Level (Overall)highstd
-w truefalse
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Operating System Information
Operating System Informationxstngdbuildmappar
CPU Architecture/SpeedIntel(R) Core(TM) i3 CPU 550 @ 3.20GHz/3191 MHzIntel(R) Core(TM) i3 CPU 550 @ 3.20GHz/3191 MHzIntel(R) Core(TM) i3 CPU 550 @ 3.20GHz/3191 MHzIntel(R) Core(TM) i3 CPU 550 @ 3.20GHz/3191 MHz
HostALECO-PCALECO-PCALECO-PCALECO-PC
OS NameMicrosoft Windows 7 , 64-bitMicrosoft Windows 7 , 64-bitMicrosoft Windows 7 , 64-bitMicrosoft Windows 7 , 64-bit
OS Releasemajor release (build 7600)major release (build 7600)major release (build 7600)major release (build 7600)
+ \ No newline at end of file diff --git a/main_guide.ncd b/main_guide.ncd new file mode 100644 index 0000000..bda6523 --- /dev/null +++ b/main_guide.ncd @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6 +###4424:XlxV32DM 3fff 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###4824:XlxV32DM 3fff 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###4160:XlxV32DM 3fff 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###4776:XlxV32DM 3fff 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###5132:XlxV32DM 3fff 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###4564:XlxV32DM 3fff 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###2872:XlxV32DM 2319 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 \ No newline at end of file diff --git a/main_map.map b/main_map.map new file mode 100644 index 0000000..12a71c0 --- /dev/null +++ b/main_map.map @@ -0,0 +1,71 @@ +Release 14.1 Map P.15xf (nt) +Xilinx Map Application Log File for Design 'main' + +Design Information +------------------ +Command Line : map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off +-c 100 -o main_map.ncd main.ngd main.pcf +Target Device : xc3s250e +Target Package : cp132 +Target Speed : -5 +Mapper Version : spartan3e -- $Revision: 1.55 $ +Mapped Date : Thu Feb 21 20:21:08 2013 + +Mapping design into LUTs... +Running directed packing... +Running delay-based LUT packing... +Running related packing... +Updating timing models... + +Design Summary +-------------- + +Design Summary: +Number of errors: 0 +Number of warnings: 0 +Logic Utilization: + Total Number Slice Registers: 47 out of 4,896 1% + Number used as Flip Flops: 45 + Number used as Latches: 2 + Number of 4 input LUTs: 58 out of 4,896 1% +Logic Distribution: + Number of occupied Slices: 52 out of 2,448 2% + Number of Slices containing only related logic: 52 out of 52 100% + Number of Slices containing unrelated logic: 0 out of 52 0% + *See NOTES below for an explanation of the effects of unrelated logic. + Total Number of 4 input LUTs: 92 out of 4,896 1% + Number used as logic: 58 + Number used as a route-thru: 34 + + The Slice Logic Distribution report is not meaningful if the design is + over-mapped for a non-slice resource or if Placement fails. + + Number of bonded IOBs: 19 out of 92 20% + Number of BUFGMUXs: 1 out of 24 4% + +Average Fanout of Non-Clock Nets: 2.67 + +Peak Memory Usage: 209 MB +Total REAL time to MAP completion: 1 secs +Total CPU time to MAP completion: 1 secs + +NOTES: + + Related logic is defined as being logic that shares connectivity - e.g. two + LUTs are "related" if they share common inputs. When assembling slices, + Map gives priority to combine logic that is related. Doing so results in + the best timing performance. + + Unrelated logic shares no connectivity. Map will only begin packing + unrelated logic into a slice once 99% of the slices are occupied through + related logic packing. + + Note that once logic distribution reaches the 99% level through related + logic packing, this does not mean the device is completely utilized. + Unrelated logic packing will then begin, continuing until all usable LUTs + and FFs are occupied. Depending on your timing budget, increased levels of + unrelated logic packing may adversely affect the overall timing performance + of your design. + +Mapping completed. +See MAP report file "main_map.mrp" for details. diff --git a/main_map.mrp b/main_map.mrp new file mode 100644 index 0000000..9b7b158 --- /dev/null +++ b/main_map.mrp @@ -0,0 +1,169 @@ +Release 14.1 Map P.15xf (nt) +Xilinx Mapping Report File for Design 'main' + +Design Information +------------------ +Command Line : map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off +-c 100 -o main_map.ncd main.ngd main.pcf +Target Device : xc3s250e +Target Package : cp132 +Target Speed : -5 +Mapper Version : spartan3e -- $Revision: 1.55 $ +Mapped Date : Thu Feb 21 20:21:08 2013 + +Design Summary +-------------- +Number of errors: 0 +Number of warnings: 0 +Logic Utilization: + Total Number Slice Registers: 47 out of 4,896 1% + Number used as Flip Flops: 45 + Number used as Latches: 2 + Number of 4 input LUTs: 58 out of 4,896 1% +Logic Distribution: + Number of occupied Slices: 52 out of 2,448 2% + Number of Slices containing only related logic: 52 out of 52 100% + Number of Slices containing unrelated logic: 0 out of 52 0% + *See NOTES below for an explanation of the effects of unrelated logic. + Total Number of 4 input LUTs: 92 out of 4,896 1% + Number used as logic: 58 + Number used as a route-thru: 34 + + The Slice Logic Distribution report is not meaningful if the design is + over-mapped for a non-slice resource or if Placement fails. + + Number of bonded IOBs: 19 out of 92 20% + Number of BUFGMUXs: 1 out of 24 4% + +Average Fanout of Non-Clock Nets: 2.67 + +Peak Memory Usage: 209 MB +Total REAL time to MAP completion: 1 secs +Total CPU time to MAP completion: 1 secs + +NOTES: + + Related logic is defined as being logic that shares connectivity - e.g. two + LUTs are "related" if they share common inputs. When assembling slices, + Map gives priority to combine logic that is related. Doing so results in + the best timing performance. + + Unrelated logic shares no connectivity. Map will only begin packing + unrelated logic into a slice once 99% of the slices are occupied through + related logic packing. + + Note that once logic distribution reaches the 99% level through related + logic packing, this does not mean the device is completely utilized. + Unrelated logic packing will then begin, continuing until all usable LUTs + and FFs are occupied. Depending on your timing budget, increased levels of + unrelated logic packing may adversely affect the overall timing performance + of your design. + +Table of Contents +----------------- +Section 1 - Errors +Section 2 - Warnings +Section 3 - Informational +Section 4 - Removed Logic Summary +Section 5 - Removed Logic +Section 6 - IOB Properties +Section 7 - RPMs +Section 8 - Guide Report +Section 9 - Area Group and Partition Summary +Section 10 - Timing Report +Section 11 - Configuration String Information +Section 12 - Control Set Information +Section 13 - Utilization by Hierarchy + +Section 1 - Errors +------------------ + +Section 2 - Warnings +-------------------- + +Section 3 - Informational +------------------------- +INFO:MapLib:562 - No environment variables are currently set. + +Section 4 - Removed Logic Summary +--------------------------------- + 2 block(s) optimized away + +Section 5 - Removed Logic +------------------------- + +Optimized Block(s): +TYPE BLOCK +GND XST_GND +VCC XST_VCC + +To enable printing of redundant blocks removed and signals merged, set the +detailed map report option and rerun map. + +Section 6 - IOB Properties +-------------------------- + ++---------------------------------------------------------------------------------------------------------------------------------------------------------+ +| IOB Name | Type | Direction | IO Standard | Diff | Drive | Slew | Reg (s) | Resistor | IOB | +| | | | | Term | Strength | Rate | | | Delay | ++---------------------------------------------------------------------------------------------------------------------------------------------------------+ +| CLK | IBUF | INPUT | LVCMOS25 | | | | | | 0 / 0 | +| HS | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | | | 0 / 0 | +| LED<0> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | +| LED<1> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | +| LED<2> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | +| LED<3> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | +| LED<4> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | +| LED<5> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | +| LED<6> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | +| LED<7> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | +| RGB<0> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | | | 0 / 0 | +| RGB<1> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | | | 0 / 0 | +| RGB<2> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | | | 0 / 0 | +| RGB<3> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | | | 0 / 0 | +| RGB<4> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | | | 0 / 0 | +| RGB<5> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | | | 0 / 0 | +| RGB<6> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | | | 0 / 0 | +| RGB<7> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | | | 0 / 0 | +| VS | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | | | 0 / 0 | ++---------------------------------------------------------------------------------------------------------------------------------------------------------+ + +Section 7 - RPMs +---------------- + +Section 8 - Guide Report +------------------------ +Guide not run on this design. + +Section 9 - Area Group and Partition Summary +-------------------------------------------- + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +Area Group Information +---------------------- + + No area groups were found in this design. + +---------------------- + +Section 10 - Timing Report +-------------------------- +This design was not run using timing mode. + +Section 11 - Configuration String Details +----------------------------------------- +Use the "-detail" map option to print out Configuration Strings + +Section 12 - Control Set Information +------------------------------------ +No control set information for this architecture. + +Section 13 - Utilization by Hierarchy +------------------------------------- +Use the "-detail" map option to print out the Utilization by Hierarchy section. diff --git a/main_map.ncd b/main_map.ncd new file mode 100644 index 0000000..384e5e8 --- /dev/null +++ b/main_map.ncd @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6 +###4436:XlxV32DM 3fdc 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###4512:XlxV32DM 3fff 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###4412:XlxV32DM 3fff 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###5232:XlxV32DM 3fff 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###1968:XlxV32DM 1714 798eNrNV7uO5DYQ/Bl/gPgmNcnBTi/wfQIfUnjABo4G/ncXNWtWabCX3wILtWooqbq7utnczfNnrrtpj39y2e3j35/msPu2f8JF4Ei4Cpx2A3jeb9d95rKxlpXeAbsXHAQehK3AB2B/wcUJfBKW1WPjS7YFW5NvzKypZGZl2UGYzKzdCDuBPWEvcLh/y0qwkjA1i+kpqF1oF9TRWSOwJ7wJHNY7mDMb4p1WYHK6rOoLPQVlXJhHGymOQ1B3/06UKCn3uEhmQdNCRRkjLz+zxqoQFgKZLkRBx0KzoOedbGGmqWtbzEKToGmhynUqq7++UwVugNML1sR2wqqNseBQBD4YHSHS6VqQVA6yDuIMRPO/y+a6D1zWZBlVGyTn462QBgvpxvSkA0xCOTbCUssHSyFQIm4Tv7LAFGNg4J25686Z8HYvDjl5TByIAlNEwQvTKfnwgqXijlmf8YK9NLrDL788Q+v8yXez+bhA7flT4Dc/Qnq7Zx3Lt50UsjB1Usn+EKaBDohqrz7/CYs8D1aplwxImfguMNuELwK/+VHK2z0TI992RRwgU1cleNJPrh3o0wFNY1k69CK43vgS0QLq68ZsiEOipKtJm/dvucH+5yXbg91DKJSjrtAmrvYbs5miwKyQ5AU+biXuDYOTmC9v6EdKAt8F56VwnLSuoy2mkUrynnJ0wtQXfssJzCQnIzDddUVg5j5R0z6YO+Hgb/fl4LwRqQ2f6VesAjMx8RSYfsUuMB2IQ2DZg5rA9+3GozTuTDkCRcl+p4SipLmzc0eJUhe/JANdHJBsd3FAtPGmeY+56nZ/StuUKJ2soCj5PKXjUSthuycubKyg6GSZuK9Nd6o8LpWX4+REQU+CpfJyFJhMZecNdny1nwV7T1xw93CUk2NnZuKCzFzmumcOspdlX+5BQVp4lnCE4+3b3D0TUxHyfZ8PIve8yTKhZASuX+0qAbq+f5vjaqLOQ7P3bzf3dk/lJnl7Y+klkUmT3sHSCzKKXC+VUSRJ6gYTnarA0jplwzjdcqgq7NeG0WSDP8MaB6qMOSdn2yq7zsltc5BJrOSdZYQ6M1fT69jvY06UJjCaPF3W001q5irb18jcmO047vKOB3fyoe62tZt2mcPPvl4qJ7GTM+xQBseCO3OcNuZ4KMyOX1nTSTa0oUTYAQaTVzcWZ4sCs25aEZgjXvMCUxjDCswRr1WBeQQbQWAKoylBEYYTWBSQBGZqhxLk5DCMwNymhzrPPbHpu7kBNWXCg0dTdxjvKqsN4y38DMNdJdzXEPISj7A2PPBWyiFHdsRNFjMHVXwxzEE9BZbilIwZ5qA2gZmDKpXguCWp48xMXSWLv2/f/no2zDQ5OxfaEQH8+czPV0feZ/AamCfwGfgKbBRJg1YastQQoIZwNJMeHzk//n3ma2zbLdZjt6ouoMngLT7iH/eYhip2jhpgR6xJuE+w8VgFo5oPxB/PlOk/sLbhP+MfazvuO9Z3PI9huGKkrTh0Vgz8FWeBii22Ytut6GQVTa6iITQURkMVtG22lG1vFnxt/ORqywyJ3eOOZCCnCBsM+J0ef9jS9oI15qohjzUoPYvaO/bS0Xd2Y+tchRCHec37+fg+T93h+R2Rds8Pkx84huM5XOAKWtfXP5hf/WB/9YP71Q9+JhSUAymbCKq17QanCous2BjutM32+/COEmrkxkJtzgxQPXdXzRtv+/vwTuTtRsGRKeHoGXCgdJhP3nmb34d3Ju8A0QTnMOKZPeAkEDBJ3Hm734Q3+tPfzx8I+fb4AQfM46NN+6O/bHSyOi/jugTU9zavds72uHqU77zGOTvgmq9ChtFmqUzjqpdpnLNoYCAiqJxpuKt8YMSpz2nkKdJp1KnUafQp12kcl2Y/GlocRDENN5UxjTDlMY00NTKNcgkFRp+ZmMYx0wEjbzMn07AzMdPwV3YQh/8AVWmD/Q== \ No newline at end of file diff --git a/main_map.ngm b/main_map.ngm new file mode 100644 index 0000000..eff88db --- /dev/null +++ b/main_map.ngm @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$3`e5=6:2.Yi{g|inl9$4(5=1*/=<5+Rdtjwlii2);%98.+1:27?5679=1;<=<;;123@1=78;:?7=638>0=8>jcq306(333:95:5457?=6788;047AZTQWW>ICC4>0;209:6>?492K97L7<;@FQ1>GTQGI?7O?>129AQC2DRAENTXL\HEUCQGM>EB:2IB96MDBTD0?FJL12IGGRLZFFRf?FJLWK_MK]!MUGE2`>EKCVH^JJ^ BTDD[L6Xe|rThbPshee?FJLWK_MK]!FBTDD7>EKZ01HC@CFTUGG<>EHFZ^JBY?>;BMMWQGI\V^YM^FLAO58GWCF\LN:7I=4D011?AOb3MXHS^[LCDQPBPJ23MXBZH?4E59F05633LONM95JEG225>C_XHDOIIQMCE]EMIC6<2OS\L@KEE]@KKUSZHCEX^?>;DZSEKBBLVCXIRHFLD68B5>7;2L:?>5I1508B226HM2:DG1>@CKYO87KJJ3:DGV1=AL[O>7KJ]SD18BAU53OO?7KK?059EAC653OL?7KH7059EVDAe3OXJKNAYESGGe>@UINUIM^KKb:DQEBYDGGLBKl5IR@E\GJSI\11M^BCPUBD2?B4AB9=1LICK]AUGG$UIT\$LGECZ>2:EFJ@TF\LN+\B][-F137>AIL<1L^B[_3:J277=O[>1C_RAMUG38M7=N8;1B=?5F239J71=NJ\L>7DLZFF08MK5OIWGMOSL@K_CAG2>OHKFG[m6G@SUCM@DTC>2CDXT^J4:KSEA56BK5:NGGUC13EOO0=09;MGG84813EOO0?09;MGG86813EOO0909;MGG80813EOO0;07;MGG82<76?1GII28>`9OAAY7WFH^Jl5CEE]2[JDRNh1GIIQ=_N@VBd=KMMU8SBLZF`9OAAY3WFH^Jl5CEE]6[JDRNh1GIIQ9_N@VBd=KMMU=7A[[4^F5?ISS9:OO[BCIM[K_IIQ_ORV.CWGIA]O87@[W5:OV\F_23D_SJ8m4M`hlvScu{`eeo6CfnnpUawungg80B==4N131?K743G;:?6@>329M505H3:2D>>6@92:L:3>HB\^EYG55AOU]SL\U63F;m7B3'()|J4/./v@;!E?'$I0+*/L6."@9"=h5@=)*+zL6- @;!E>'&+)K3.L5.!"C9%5@=)*J4/.N9#C9%$%F3(37?J;/ @:KE<'%(K1.L5.!:>0C0&'~H2),-xN9#"B>'pF3(+(,L7- @8 E>'&)()+M5,/A;!B?$'&229L9-.yA9 #E<$F2(+(,L6- @;!$D<%I2+*-,4e3F7#$sG?*)K2/-O5#@9"%$%'I1(+zL7- wC9&sG<)(+*73=H5!"uE=$'I0B}M7/.#!C;&%&qI0(+zL4-v@9"%&&F1+*J6.O4! #"%?>4O<*+zL6- wC:&sG=)()+M5,/A8!B>$'&269L9-.yA9 #rD<%~H1*-..N8#"B='&qI3(}M6/.! ;j7B3'(K3.{O6!""B<'&F2+K0-,/5:2E6$%pF0+|J5,-/A9 #rD?%(K1.{O4! #"=n5@=)*}M5-/A9 #rD<$~H1*-,,yA8#:96A2()|J4..N8#tB>$'OI0+2e>I: @:!$%&qI0(J7,-N9 uE?'&1`9L9-O7"!"uE<%'I0(J7,/-v@8"%<=4O<*J4/.N9#"B>'G<)(+e?J;/A9 #E<$qI3+*51=H5!C;&%pF1+*J6/O4! #:96A2(H2),{O6"!C9&sG<)(+21>I: @:!$sG>*)|J6/O4! #::6A2(H2),{O6"!tB>'pF3(+*56=H5!C;'%G>*)K1/L5.! o0C0&F0**J5.O5! l0C0&F0**}M4-N: #27B3'I1)J5,g*)|J6/xN; #"=:5@=)|J4..yA8 #rD<%~H1*-,773F7#rD>$(K2/L4.!<1D1sG?4:MAQC5T03[OFC[KK119QAHIQMMU_^L]GC@L24>TBZLYD@[KPP@PBIV0384RF@?7;02XLN191a:PDFZ6XGK_Mm6\HB^3\KGSAi2XLNRT@JV9TCO[Ia:PDFZ2XGK_Mm6\HB^7\KGSAi2XLNR8POCWEe>T@JV=TCO[I3:PLI6=U[];0_>5\EM18W@R43ZLM56]FG^ALQKR33ZFN[>5\NJ18WWEc3ZXHSEGJR@P@L\2<[[Y_86]\EU:8WQSDCVK_86]VNB;8W\HDWH^_^k5[ASEFPZVFZ]^R\H64TDPNMKGK>2^BIBHIb:VQEKUWI[OEX95[YQG5?PHR[LNh7X]JR^TJWLDKM:1]ONo4VFCGvlpbz&i0ZJOKrhtfv*NT9=1]KLJ}iwgq+MUXign;<=>>6:TDEAtn~lx$D^Qnne234545:81]KLJ}iwgq+MUXign;<=>=2.NVP1YKWK_M=55YG@Fqmscu'AYTmcj?01216*I6i2\LMI|fvdp,LVYffm:;<=<=_RU353=QOHNye{k}/IQ\ekb789:?9<84VFCGvlpbz&BXSl`k0123367f3_MJHgyes-KWZgil9:;<:=PSV227>P@IMxbzh| ISEA85869880ZJOKrhtfv*OUOK692P@IMxbzh| ISEA81869890ZJOKrhtfv*OUOK6?2<<>5:TDEAtn~lx$E_IM<5<2[c36m2\LMI|fvdp,IdbcWQUjhi>?01]`}9699l1]KLJ}iwgq+HgclVRTmij?012\g|:66;;0ZJOKrhtfv*KflmUSSljk0123[f;97Uyx3<>;WEB@woqm{%FmijPX^cg`5678Vir0?0Pru3f?SAFL{c}i!Baef\\Zgcl9:;P@IMxbzh| M`fg[]Yflm:;<=Qly=1=[wr6m2\LMI|fvdp,IdbcWQUjhi>?01]`}9299l1]KLJ}iwgq+HgclVRTmij?012\g|:268o0ZJOKrhtfv*KflmUSSljk0123[f;>7;n7[INDskuaw)JimnTTRokd1234Ze~4>4:i6XHAEpjr`t(EhnoSUQnde2345Ydq525=k5YG@Fqmscu'DkohRVPaef3456Xd|~7<3<:;WEB@woqm{%FmijPX^cg`5678Vf~x1>1_HLU[57a3_MJHgyes-NeabXPVkoh=>?0^zlv9699o1]KLJ}iwgq+HgclVRTmij?012\|jt;97;m7[INDskuaw)JimnTTRokd1234Z~hz585=k5YG@Fqmscu'DkohRVPaef3456Xpfx7?3?i;WEB@woqm{%FmijPX^cg`5678Vrd~1:11g9UCDBuaoy#@okd^Z\eab789:Ttb|35?3e?SAFL{c}i!Baef\\Zgcl9:;Pxnp?3;7a3_MJHgyes-NeabXPVkoh=>?0^zlv9>99o1]KLJ}iwgq+HgclVRTmij?012\|jt;17;n7[INDskuaw)JimnTURokd1234Ze~494:i6XHAEpjr`t(EhnoSTQnde2345Ydq5;5><5YG@Fqmscu'DkohRWPaef3456Xkp6:2R|{1d9UCDBuaoy#@okd^[\eab789:Tot2=>338RBGCz`|n~"Cnde]Z[dbc89:;Snw32?]qp4c<^NKO~dxjr.Ob`aY^Whno<=>?_b{?7;463_MJHgyes-NeabXQVkoh=>?0^az868Xz};n7[INDskuaw)JimnTURokd1234Ze~4=49=6XHAEpjr`t(EhnoSTQnde2345Ydq5>5Sz>e:TDEAtn~lx$Aljk_X]b`a6789Uhu1;1209UCDBuaoy#@okd^[\eab789:Tot2:>^pw5`=QOHNye{k}/Lcg`Z_Ximn;<=>Pcx>5:77<^NKO~dxjr.Ob`aY^Whno<=>?_b{?2;Yu|8o0ZJOKrhtfv*KflmURSljk0123[f;?78:7[INDskuaw)JimnTURokd1234Ze~4>4T~y?j;WEB@woqm{%FmijPY^cg`5678Vir050=1:TDEAtn~lx$Aljk_X]b`a6789Uhu161_sv2b>P@IMxbzh| M`fg[\Yflm:;<=Qcuu>3:73<^NKO~dxjr.Ob`aY^Whno<=>?_mww858XAG\T<f:TDEAtn~lx$Aljk_X]b`a6789Usc2>>0d8RBGCz`|n~"Cnde]Z[dbc89:;Sua}<3<2b>P@IMxbzh| M`fg[\Yflm:;<=Qwos>0:4`<^NKO~dxjr.Ob`aY^Whno<=>?_ymq8186n2\LMI|fvdp,IdbcWPUjhi>?01]{kw:268l0ZJOKrhtfv*KflmURSljk0123[}iu4?4:j6XHAEpjr`t(EhnoSTQnde2345Yg{6<2f:TDEAtn~lx$Aljk_X]b`a6789Usc26>0a8RBGCz`|n~"Clotlw[miuasUhu1>11b9UCDBuaoy#@m`uov\ljtnrVir0<0>f:TDEAtn~lx$Anaznu]kkwo}Wjs7=3Q}t0a8RBGCz`|n~"Clotlw[miuasUhu1<11g9UCDBuaoy#@m`uov\ljtnrVir0?0Pru3`?SAFL{c}i!BcnwmpZnhz`pTot2<>0d8RBGCz`|n~"Clotlw[miuasUhu1=1_sv2g>P@IMxbzh| MbmvjqYog{cqSnw34?3e?SAFL{c}i!BcnwmpZnhz`pTot2;>^pw5f=QOHNye{k}/LalqkrX`fxbvRmv<4<2b>P@IMxbzh| MbmvjqYog{cqSnw35?]qp4e<^NKO~dxjr.O`kphsWaeyewQly=4=5c=QOHNye{k}/LalqkrX`fxbvRmv<7<\vq7d3_MJHgyes-Ngjsi|Vbd~dtPcx>4:4`<^NKO~dxjr.O`kphsWaeyewQly=5=[wr6k2\LMI|fvdp,Ifirf}Uccgu_b{?<;7a3_MJHgyes-Ngjsi|Vbd~dtPcx>;:Zts9m1]KLJ}iwgq+Heh}g~Tdb|fz^nvp969::1]KLJ}iwgq+Heh}g~Tdb|fz^nvp969W@D]S=?k;WEB@woqm{%Fob{at^jlvl|Xpfx7<3?k;WEB@woqm{%Fob{at^jlvl|Xpfx7=3?k;WEB@woqm{%Fob{at^jlvl|Xpfx7>3?k;WEB@woqm{%Fob{at^jlvl|Xpfx7?3?k;WEB@woqm{%Fob{at^jlvl|Xpfx783?k;WEB@woqm{%Fob{at^jlvl|Xpfx793?k;WEB@woqm{%Fob{at^jlvl|Xpfx7:3?k;WEB@woqm{%Fob{at^jlvl|Xpfx7;3?k;WEB@woqm{%Fob{at^jlvl|Xpfx743?k;WEB@woqm{%Fob{at^jlvl|Xpfx753b:TDEAtn~lx$Anaznu]uawrXkp6:24:Zts9k1]KLJ}iwgq+Heh}g~Tzh|{_b{?<;7b3_MJHgyes-Ngjsi|V|n~yQly=:=[wr6k2\LMI|fvdp,Ifirf}U}izPltv?4;453_MJHgyes-Ngjsi|V|n~yQcuu>3:ZOI^V::o6XHAEpjr`t(Eje~byQyesv\|jt;87;h7[INDskuaw)JkfexRxjru]{kw:668i0ZJOKrhtfv*Kdg|dS{k}t^zlv9499j1]KLJ}iwgq+Heh}g~Tzh|{_ymq8686k2\LMI|fvdp,Ifirf}U}izPxnp?0;7d3_MJHgyes-Ngjsi|V|n~yQwos>6:4e<^NKO~dxjr.O`kphsWoyxRv`r=4=5f=QOHNye{k}/LalqkrX~lxSua}<6<2g>P@IMxbzh| MbmvjqYqm{~Ttb|38?3`?SAFL{c}i!BcnwmpZpbz}Usc26>0d8RBGCz`|n~"Clotlw[scu|Vrd~171_sv1e>P@IMxbzh| Mrwa[]Yflmy~n=>?0^zlv92998UBB[Q?259UCDBuaoy#@}zb^Z\eabt}k:;<=Qwos>6:475<2\LMI|fvdp,IvseWQUjhi}zb1234Z~hz5<5=<<;;WEB@woqm{%FxlPX^cg`vse89:;Sua}<6<2572<^NKO~dxjr.OpqgY_Whnoxl?012\|jt;07;:>85YG@Fqmscu'Dy~nRVPaefpqg6789Usc26>03261=QOHNye{k}/LqvfZ^Ximnxyo>?01]{kw:>6889=6XHAEpjr`t(EziSTQndeqvf5678Vir0=0=4:TDEAtn~lx$A~{m_X]b`aurj9:;Pcx>1:72<^NKO~dxjr.OpqgY^Whnoxl?012\g|:56Vx><5YG@Fqmscu'Dy~nRWPaefpqg6789Uhu1=1209UCDBuaoy#@}zb^[\eabt}k:;<=Qly=6=64=QOHNye{k}/LqvfZ_Ximnxyo>?01]`}939:=1]KLJ}iwgq+HurjVSTmij|uc2345Ydq5?5Sz=1:TDEAtn~lx$A~{m_X]b`aurj9:;P@IMxbzh| Mrwa[\Yflmy~n=>?0^az828592\LMI|fvdp,IvseWPUjhi}zb1234Ze~4149>6XHAEpjr`t(EziSTQndeqvf5678Vf~x1=1299UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>0:ZOI^V:9>6XHAEpjr`t(EziSTQndeqvf5678Vf~x1:1299UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>7:ZOI^V:9>6XHAEpjr`t(EziSTQndeqvf5678Vf~x181299UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>5:ZOI^V:9>6XHAEpjr`t(EziSTQndeqvf5678Vf~x191299UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>4:ZOI^V:9>6XHAEpjr`t(EziSTQndeqvf5678Vf~x161299UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>;:ZOI^V:9>6XHAEpjr`t(EziSTQndeqvf5678Vf~x171299UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>::ZOI^V:9>6XHAEpjr`t(EziSTQndeqvf5678Vrd~1>1239UCDBuaoy#@}zb^[\eabt}k:;<=Qwos>2:74<^NKO~dxjr.OpqgY^Whnoxl?012\|jt;:7897[INDskuaw)J{|hTURokdrwa4567Wqey0>0=2:TDEAtn~lx$A~{m_X]b`aurj9:;?01]{kw:26;80ZJOKrhtfv*Kt}kURSljkst`3456Xpfx7:3<=;WEB@woqm{%FxlPY^cg`vse89:;Sua}<6<16>P@IMxbzh| Mrwa[\Yflmy~n=>?0^zlv9>9:;1]KLJ}iwgq+HurjVSTmij|uc2345Yg{622n5YG@Fqmscu'G8h7[INDskuaw)I?j1]KLJ}iwgq+K?682\LMI|fvdp,VBD;<7;;7[INDskuaw)UOK6<2k5YG@Fqmscu'[MIS9h4VFCGvlpbz&XLNR9>3:TDEAtn~lx$^h}zlu>3:42<^NKO~dxjr.Pfwpjs494:=>5YG@Fqmscu'[oxyaz31?37?SAFL{c}i!]erwop9799890ZJOKrhtfv*Tb{|f0?0>4:TDEAtn~lx$^h}zlu>1:4743_MJHgyes-Qavsk|595=95YG@Fqmscu'[oxyaz33?327>P@IMxbzh| Rdqvhq:368>0ZJOKrhtfv*Tb{|f090>129UCDBuaoy#_k|umv?1;733_MJHgyes-Qavsk|5?5=<=4VFCGvlpbz&Xnxb{<7<20>P@IMxbzh| Rdqvhq:168;87[INDskuaw)Umzgx191159UCDBuaoy#_k|umv?3;76;2\LMI|fvdp,V`urd}632<:4VFCGvlpbz&Xnxb{<9<256=QOHNye{k}/Sgpqir;17;?7[INDskuaw)Umzgx1711b9UCDBuaoy#[]>4:TDEAtn~lx$Z^Qnne23457?3_MJHgyes-UWZgil9:;P@IMxbzh| W068RBGCz`|n~"YPnnv34576m2\LMI|fvdp,\969m2\LMI|fvdp,\979m2\LMI|fvdp,\949m2\LMI|fvdp,\959m2\LMI|fvdp,\929m2\LMI|fvdp,\939m2\LMI|fvdp,\909m2\LMI|fvdp,\919m2\LMI|fvdp,\9>9m2\LMI|fvdp,\9?9l2\LMI|fvdp,\Z6c3_MJHgyes-[[4b<^NKO~dxjr.Z\6a=QOHNye{k}/Y]0`>P@IMxbzh| X^6g?SAFL{c}i!W_4f8RBGCz`|n~"VP6e9UCDBuaoy#UQ8d:TDEAtn~lx$TR6k;WEB@woqm{%SS4?9;WEB@woqm{%SSljk01238586>2\LMI|fvdp,\Zgcl9:;<1?1179UCDBuaoy#UQnde2345:568<0ZJOKrhtfv*^Ximn;<=>33?35?SAFL{c}i!W_`fg45674=4::6XHAEpjr`t(PVkoh=>?0=7=53=QOHNye{k}/Y]b`a67896=2<84VFCGvlpbz&RTmij?012?3;713_MJHgyes-[[dbc89:;050>6:TDEAtn~lx$TRokd12349?9901]KLJ}iwgq+]Yflmy~n=>?0=6=5<=QOHNye{k}/Y]b`aurj9:;<1;1189UCDBuaoy#UQndeqvf56785<5=45YG@Fqmscu'QUjhi}zb1234919901]KLJ}iwgq+]Yflmy~n=>?0=:=5<=QOHNye{k}/Y]b`aurj9:;<171179UCDBuaoy#UQnne2345769;;0ZJOKrhtfv*^Xign;<=>>10-OQQ5XDVH^J<64VFCGvlpbz&RTmcj?012254)H9?1]KLJ}iwgq+]Yffm:;<=?>5048RBGCz`|n~"VPaof34566;?8:7[INDskuaw)_Whdo<=>?124,HPR3WMUIYK?9;WEB@woqm{%SSl`k0123507602\LMI|fvdp,\Zgil9:;<<;>_03;?SAFL{c}i!W_`lg45679<;T><;4VFCGvlpbz&RTmcj?012034?<^NKO~dxjr.Z\ekb789:8;R]X0368RBGCz`|n~"VPaof34564?VY\<"BZT5]O[GSA9k1]KLJ}iwgq+]Yffm:;<==8_RU3+J7>3_MJHgyes-[[dhc89:;94Q\W136?SAFL{c}i!W_`lg4567><;27[INDskuaw)_Whdo<=>?64]PS5433_MJHgyes-[[dhc89:;:8Q\W1-OQQ2XDVH^J?0812=>P@IMxbzh| X^cm`567802T_Z>j;WEB@woqm{%R0=0j;WEB@woqm{%R0<0j;WEB@woqm{%R0?0j;WEB@woqm{%R0>0j;WEB@woqm{%R090j;WEB@woqm{%R080j;WEB@woqm{%R0;0j;WEB@woqm{%R0:0j;WEB@woqm{%R050j;WEB@woqm{%R040k;WEB@woqm{%RS=j4VFCGvlpbz&ST=i5YG@Fqmscu'PU9h6XHAEpjr`t(QV9o7[INDskuaw)^W=n0ZJOKrhtfv*_X=m1]KLJ}iwgq+\Y1l2\LMI|fvdp,]Z1c3_MJHgyes-Z[=b<^NKO~dxjr.[\=40<^NKO~dxjr.[\eab789:7<3?9;WEB@woqm{%RSljk01238486>2\LMI|fvdp,]Zgcl9:;<1<1179UCDBuaoy#TQnde2345:468<0ZJOKrhtfv*_Ximn;<=>34?35?SAFL{c}i!V_`fg45674<4::6XHAEpjr`t(QVkoh=>?0=4=53=QOHNye{k}/X]b`a67896<2<84VFCGvlpbz&STmij?012?<;713_MJHgyes-Z[dbc89:;040>9:TDEAtn~lx$URokdrwa4567494:56XHAEpjr`t(QVkoh~{m0123848612\LMI|fvdp,]Zgclzi<=>?<3<2=>P@IMxbzh| Y^cg`vse89:;0>0>9:TDEAtn~lx$URokdrwa45674=4:56XHAEpjr`t(QVkoh~{m0123808612\LMI|fvdp,]Zgclzi<=>?<7<2=>P@IMxbzh| Y^cg`vse89:;0:0>9:TDEAtn~lx$URokdrwa4567414:56XHAEpjr`t(QVkoh~{m01238<86:2\LMI|fvdp,ljtnr5:5=?5YG@Fqmscu'aeyew2>>008RBGCz`|n~"f`rhx?6;753_MJHgyes-kkwo}4:4:>6XHAEpjr`t(`fxbv1:1139UCDBuaoy#ea}i{>6:44<^NKO~dxjr.jlvl|;>7;97[INDskuaw)og{cq0:0>2:TDEAtn~lx$db|fz=:=57=QOHNye{k}/imqm:>68;0ZJOKrhtfv*nhz`pT<3:47<^NKO~dxjr.tfvq:668;0ZJOKrhtfv*pbz}692038RBGCz`|n~"xjru>7:47<^NKO~dxjr.tfvq:268;0ZJOKrhtfv*pbz}6=2038RBGCz`|n~"xjru>;:47<^NKO~dxjr.tfvq:>68:0ZJOKrhtfv*pbz}U;==5YG@Fqmscu'oyxR?>0:TDEAtn~lx$zh|{_333?SAFL{c}i!yesv\746<^NKO~dxjr.tfvqY3991]KLJ}iwgq+scu|V?:<6XHAEpjr`t(~lxS;??;WEB@woqm{%}izP7028RBGCz`|n~"xjru];55=QOHNye{k}/wgqpZ?612\LMI|fvdp,r`tsWjg{Sh?0122e>P@IMxbzh| vdpw[fkwWl{;<=>>1d9UCDBuaoy#{k}t^antZcv89:;=R]X03;8RBGCz`|n~"xjru]`iuYby9:;<4VFCGvlpbz&|n~yQlmq]fu56788UX[=!@1e9UCDBuaoy#{k}t^antZcv89:;S^Y?299UCDBuaoy#{k}t^antZcv89:;S^Y?/MWW7ZJXJ\L:j6XHAEpjr`t(~lxSnc_ds3456X[^:$C<74VFCGvlpbz&|n~yQlmq]fu56798h0ZJOKrhtfv*pbz}Uha}Qjq1235476j2\LMI|fvdp,r`tsWjg{Sh?0131670<^NKO~dxjr.tfvqYdeyUn}=>?130,HPR3WEUIYK?k;WEB@woqm{%}izPclr\at678889#B?m;WEB@woqm{%}izPclr\at67889=56XFEV]W]UC53_Y<7[]POCWE5a=_AECET VKB!2-5%US]K*;"<.NSBKJ7>^HZ<1SC_MV6:ZPPIOE?2RXXRIAD69[WQYQKJh0T[3Q\@S@[WF4;8\SMXPFXHU;5Wdc]J``=_laUHc|Mlnahw46^ceVGbbb|Yesqjkk54:2=nf{miS994iopdfZ1c3`dykoQnne2345773`dykoQnne234575<8:0ec|hb^cm`56788>4iopdfZgil9:;<<8=109jjwaeWhdo<=>?170256=nf{miSl`k0123534Xn<;;7d`}gc]bja67898;=>1018mkt`jVkeh=>?0322[c3682ce~jlPaof3456590l0ec|hb^cm`5678:?m7d`}gc]bja6789?>j6garf`\ekb789:=9k5fnsea[dhc89:;;038mkt`jVg~t=>?1=1=51=ji`d87`o8:ld`gsndm;37}fvshabhZaug|zTOAEPBTDDT<=txhxmc=j8:zjhlh}g;37ubax^cvpjY7Wqni#n}{.y```xFGxml37MNw2c:9B?1=9rY897454:0kn688=9zl1=c<63g8j<784$3;1>7>13tY8>7454:0kn688:5:Q:6?4ei3;1=>==9`g9133?3Z996?ln:082764>il0>:8o4S8096g?=:3;8??7ne;751f=Ti=09n44j6;3077?fm3?=9h5\3381f<<52898>4oj:446a>b5j10;6<4={R16>7g62l=1=>==9`g9134>3-886?ll;I1:?kg12<;9:i8>4:&b1?4ek2.j;7k9;wVb7?6=93;1==otS2796d7=m>0:?><6ad8627?<,;2864;4V3;`>7}rij0:7xok:19~ `e=9:1/8<4?;%1e>7db3->;6::4b3`;>5<6;3;:n7??9zJ25`=#98i1>o64Z3696~7?28=1qc<6d;28m7e=831d:94?::m1<1<722emj7>5$dd9b`=iml0;76aid;29 ``=nl1eih4>;:meg?6=,ll1jh5aed81?>iaj3:1(hh5fd9ma`<432emm7>5$dd9b`=iml0?76ai9;29 ``=nl1eih4:;:meia?3:1(hh5fd9ma`<032e3;7>5$dd9<3=iml0;76a75;29 ``=0?1eih4>;:m;0?6=,ll14;5aed81?>i?;3:1(hh5879ma`<432e3>7>5$dd9<3=iml0?76a71;29 ``=0?1eih4:;:m;4?6=,ll14;5aed85?>i0n3:1(hh5879ma`<032h94l4>:083>5}#98i1:<5G29;8L47b3-82n7;%326?4e02e>m7>5$3;a>`2<3th94o4>:083>5}#98i1=5$3;a>`2<3th9m84>:783>5}#98i1;i5G29;8L47b3-=:6>5+28`93f=#1809ni5a28f97>"69;09n55f3783>!4>j35<7s-;:o79k;I0;=>N69l1/;<4<;%0:f?1d3-3:6?lk;o0:`?2<,8;96?l7;h15>5<#:0h1:i54i4c94?"51k09o65`f383>!4>j3o?76g:e;29 7?e2;307dh>:18'6:18'66582>6<729q/=>3A;:i6*=9c81<2=#1809ni5a28f91>"69;0:>=5f2`83>!4>j3">938ih6`=9e85?!76:3;9<6g=a;29 7?e2?n07d7?:18'6m10coj50;&1=g5<7s-;:o7on;I0;=>N69l1/>4l5a89'=4<5jm1e>4j57:&257<6:91b>l4?:%0:f?0a32c847>5$3;a>3c<3`;;m7>5$3;a>46e32eih7>5$3;a>`2<3th::?4>:283>5}#98i1>564H3::?M76m2.95o4=869'=4<5jm1e>4j58:&257<6:91b>l4?:%0:f?0c32c2<7>5$3;a>3b<3fho6=4+28`9a1=97?54;294~"69j0jm6F=889K54c<,;3i6l74$8396gb=n;10;6)<6b;4f?>o68h0;6)<6b;33f>=hjm0;6)<6b;g7?>{e9?;1=7=50;2x 47d2;237E<79:J25`=#:0h1>594$8396gb=n190;6)<6b;4g?>iel3:1(?7m:d68?xd6=:0:694?:1y'54e=ih1C>574H03f?!4>j3k27)7>:3`g?k4>l3h0(4l56g98m6>=83.95o49e:9j55g=83.95o4>0c98kgb=83.95o4j4:9~f40728086=4?{%32g?4?02B9445G10g8 7?e2;2<7)7>:3`g?k4>l3i0(4l56e98m<6=83.95o49d:9lfa<72-82n7k;;:a507=93>1<7>t$03`>dg<@;227E?>e:&1=g7?=0:k1e?6=,;3i6;h4;h1;>5<#:0h1:h54i02b>5<#:0h1==l4;n`g>5<#:0h1i954}c36b?7=;3:11b81<==O:130D7?=0:k1e?6=,;3i6;j4;h;3>5<#:0h1:i54ocf94?"51k0n865rb06e>4<3290;w)?>c;cb?M4?12B:=h5+28`9e<=#1809ni5a28f9b>"69;0:>=5f2`83>!4>j307pl>5d82>6<729q/=>3A;:i6*=9c81<2=#1809ni5a28f955=#9881=?>4i3c94?"51k0=h65f9183>!4>j307pl>4e82>1<729q/=l10e<>n:18'6">938ih6`=9e826>"69;0:>=5f2`83>!4>j3o10e>650;&1=g<1m21b==o50;&1=g<68k10coj50;&1=g5<7s-;:o7<78:J1<<=O98o0(?7m:3:4?!?62;ho7c<6d;37?!76:3;9<6g=a;29 7?e2?n07d7?:18'6m10coj50;&1=g5<7s-;:o7on;I0;=>N69l1/>4l5a89'=4<5jm1e>4j5149'544=9;:0e?o50;&1=g<1n21b?54?:%0:f?0b32c:N69l1/>4l52958 <7=:kn0b?7k:048 475288;7dm10e4>50;&1=g<1l21dni4?:%0:f?c332wi=9651;694?6|,8;h6lo4H3::?M76m2.95o4n9:&:5?4el2d95i4>7:&257<6:91b>l4?:%0:f?0a32c847>5$3;a>3c<3`;;m7>5$3;a>46e32eih7>5$3;a>`2<3th:8:4>:283>5}#98i1>564H3::?M76m2.95o4=869'=4<5jm1e>4j5199'544=9;:0e?o50;&1=g<1l21b5=4?:%0:f?0c32eih7>5$3;a>`2<3th:8;4>:283>5}#98i1>564H3::?M76m2.95o4=869'=4<5jm1e>4j5189'544=9;:0e?o50;&1=g<1l21b5=4?:%0:f?0c32eih7>5$3;a>`2<3th:?o4>:583>5}#98i1ml5G29;8L47b3-82n7o6;%;2>7dc3g82h7?n;%326?7582c9m7>5$3;a>3`<3`936=4+28`92`=b:&257<6:91b>l4?:%0:f?0c32c2<7>5$3;a>3b<3fho6=4+28`9a1=4473`8j6=4+28`92c==n99k1<7*=9c824g==zj8>?6<4<:183!76k38346F=889K54c<,;3i6?68;%;2>7dc3g82h7?k;%326?7582c9m7>5$3;a>3b<3`3;6=4+28`92a==zj8926<4;:183!76k3kj7E<79:J25`=#:0h1m45+9081fa=i:0n1=h5+1009576=n;10;6)<6b;4f?>o68h0;6)<6b;33f>=hjm0;6)<6b;g7?>{e9=91=7=50;2x 47d2;237E<79:J25`=#:0h1>594$8396gb4473`8j6=4+28`92a==hjm0;6)<6b;g7?>{e9:21=7:50;2x 47d2hk0D?66;I32a>"51k0j56*61;0a`>h51m09<6*>138265=n:h0;6)<6b;4e?>o403:1(?7m:7g8?l77i3:1(?7m:02a?>iel3:1(?7m:d68?xd6<;0:6>4?:1y'54e=:120D?66;I32a>"51k094:5+9081fa=i:0n1><5+1009576=n190;6)<6b;4g?>iel3:1(?7m:d68?xd6;>0:694?:1y'54e=ih1C>574H03f?!4>j3k27)7>:3`g?k4>l3897)?>2;314>o5i3:1(?7m:7d8?l5?290/>4l56d98m46f290/>4l511`8?jdc290/>4l5e598yg7393;1?7>50z&25f<5011C>574H03f?!4>j383;6*61;0a`>h51m09?6*>138265=n:h0;6)<6b;4g?>o>83:1(?7m:7f8?jdc290/>4l5e598yg74=3;187>50z&25f0(4l56g98m6>=83.95o49e:9j55g=83.95o4>0c98kgb=83.95o4j4:9~f42728086=4?{%32g?4?02B9445G10g8 7?e2;2<7)7>:3`g?k4>l38>7)?>2;314>o5i3:1(?7m:7f8?l?7290/>4l56e98kgb=83.95o4j4:9~f454280?6=4?{%32g?gf3A8356F>1d9'6t$03`>7>?3A8356F>1d9'64l56e98m<6=83.95o49d:9lfa<72-82n7k;;:a567=93>1<7>t$03`>dg<@;227E?>e:&1=g219j6d<72-82n78i;:k0m;:ma`?6=,;3i6h:4;|`27`<62:0;6=u+10a96=><@;227E?>e:&1=g<50>1/5<4=be9m63-3:6?lk;o0:`?4f3-;:>7?=0:k1e?6=,;3i6;h4;h1;>5<#:0h1:h54i02b>5<#:0h1==l4;n`g>5<#:0h1i954}c3:b?7=;3:11b81<==O:130D219j6d<72-82n78k;:k:4?6=,;3i6;j4;n`g>5<#:0h1i954}c3:a?7=;3:11b81<==O:130D219j6d<72-82n78k;:k:4?6=,;3i6;j4;n`g>5<#:0h1i954}c3:6?7=<3:11b8be>N5001C=d?<,0;1>oj4n3;g>7b<,8;96<5<#:0h1:k54i2:94?"51k0=i65f11c94?"51k0:4<4290;w)?>c;0;<>N5001C=7>03-3:6?lk;o0:`?4b3-;:>7?=0:k1e?6=,;3i6;j4;h;3>5<#:0h1:i54ocf94?"51k0n865rb0;3>4<3290;w)?>c;cb?M4?12B:=h5+28`9e<=#1809ni5a28f96c=#9881=?>4i3c94?"51k0=j65f3983>!4>j30`83>!4>j3;;n65`be83>!4>j3o?76sm18a95?5=83:p(oj4n3;g>66<,8;96<5<#:0h1:i54i8294?"51k0=h65`be83>!4>j3o?76sm19g95?2=83:p(>3A;:i6*=9c8b=>">938ih6`=9e805>"69;0:>=5f2`83>!4>j307pl>9c82>6<729q/=>3A;:i6*=9c81<2=#1809ni5a28f977=#9881=?>4i3c94?"51k0=h65f9183>!4>j307pl>8b82>1<729q/=l10e<>n:18'6">938ih6`=9e800>"69;0:>=5f2`83>!4>j3o10e>650;&1=g<1m21b==o50;&1=g<68k10coj50;&1=g5<7s-;:o7<78:J1<<=O98o0(?7m:3:4?!?62;ho7c<6d;15?!76:3;9<6g=a;29 7?e2?n07d7?:18'6m10coj50;&1=g5<7s-;:o7on;I0;=>N69l1/>4l5a89'=4<5jm1e>4j5369'544=9;:0e?o50;&1=g<1n21b?54?:%0:f?0b32c:N69l1/>4l52958 <7=:kn0b?7k:2:8 475288;7dm10e4>50;&1=g<1l21dni4?:%0:f?c332wi=5851;694?6|,8;h6lo4H3::?M76m2.95o4n9:&:5?4el2d95i4<9:&257<6:91b>l4?:%0:f?0a32c847>5$3;a>3c<3`;;m7>5$3;a>46e32eih7>5$3;a>`2<3th:5:4>:283>5}#98i1>564H3::?M76m2.95o4=869'=4<5jm1e>4j53`9'544=9;:0e?o50;&1=g<1l21b5=4?:%0:f?0c32eih7>5$3;a>`2<3th:494>:583>5}#98i1ml5G29;8L47b3-82n7o6;%;2>7dc3g82h7=m;%326?7582c9m7>5$3;a>3`<3`936=4+28`92`=l4?:%0:f?0c32c2<7>5$3;a>3b<3fho6=4+28`9a1=j4$031>4473`8j6=4+28`92c==n99k1<7*=9c824g==zj82:6<4<:183!76k38346F=889K54c<,;3i6?68;%;2>7dc3g82h7=j;%326?7582c9m7>5$3;a>3b<3`3;6=4+28`92a==zj82;6<4<:183!76k38346F=889K54c<,;3i6?68;%;2>7dc3g82h7=i;%326?7582c9m7>5$3;a>3b<3`3;6=4+28`92a==zj8=?6<4;:183!76k3kj7E<79:J25`=#:0h1m45+9081fa=i:0n18=5+1009576=n;10;6)<6b;4f?>o68h0;6)<6b;33f>=hjm0;6)<6b;g7?>{e9>l1=7=50;2x 47d2;237E<79:J25`=#:0h1>594$8396gb4473`8j6=4+28`92a==hjm0;6)<6b;g7?>{e9>81=7:50;2x 47d2hk0D?66;I32a>"51k0j56*61;0a`>h51m0?>6*>138265=n:h0;6)<6b;4e?>o403:1(?7m:7g8?l77i3:1(?7m:02a?>iel3:1(?7m:d68?xd6?l0:6>4?:1y'54e=:120D?66;I32a>"51k094:5+9081fa=i:0n18>5+1009576=n190;6)<6b;4g?>iel3:1(?7m:d68?xd6?90:694?:1y'54e=ih1C>574H03f?!4>j3k27)7>:3`g?k4>l3>?7)?>2;314>o5i3:1(?7m:7d8?l5?290/>4l56d98m46f290/>4l511`8?jdc290/>4l5e598yg70l3;1?7>50z&25f<5011C>574H03f?!4>j383;6*61;0a`>h51m0?96*>138265=n:h0;6)<6b;4g?>o>83:1(?7m:7f8?jdc290/>4l5e598yg71m3;187>50z&25f4l56g98m6>=83.95o49e:9j55g=83.95o4>0c98kgb=83.95o4j4:9~f41d28086=4?{%32g?4?02B9445G10g8 7?e2;2<7)7>:3`g?k4>l3><7)?>2;314>o5i3:1(?7m:7f8?l?7290/>4l56e98kgb=83.95o4j4:9~f40d280?6=4?{%32g?gf3A8356F>1d9'6t$03`>7>?3A8356F>1d9'64l56e98m<6=83.95o49d:9lfa<72-82n7k;;:a53g=93>1<7>t$03`>dg<@;227E?>e:&1=g219j6d<72-82n78i;:k0m;:ma`?6=,;3i6h:4;|`23d<62:0;6=u+10a96=><@;227E?>e:&1=g<50>1/5<4=be9m63-3:6?lk;o0:`?2d3-;:>7?=0:k1e?6=,;3i6;h4;h1;>5<#:0h1:h54i02b>5<#:0h1==l4;n`g>5<#:0h1i954}c34=?7=;3:11b81<==O:130D219j6d<72-82n78k;:k:4?6=,;3i6;j4;n`g>5<#:0h1i954}c352?7=<3:11b8be>N5001C=d?<,0;1>oj4n3;g>1c<,8;96<5<#:0h1:k54i2:94?"51k0=i65f11c94?"51k0:4<4290;w)?>c;0;<>N5001C=7>03-3:6?lk;o0:`?2a3-;:>7?=0:k1e?6=,;3i6;j4;h;3>5<#:0h1:i54ocf94?"51k0n865rb046>4<3290;w)?>c;cb?M4?12B:=h5+28`9e<=#1809ni5a28f915=#9881=?>4i3c94?"51k0=j65f3983>!4>j30`83>!4>j3;;n65`be83>!4>j3o?76sm1bc95?5=83:p(oj4n3;g>07<,8;96<5<#:0h1:i54i8294?"51k0=h65`be83>!4>j3o?76sm1b;95?5=83:p(oj4n3;g>04<,8;96<5<#:0h1:i54i8294?"51k0=h65`be83>!4>j3o?76sm1c695?2=83:p(>3A;:i6*=9c8b=>">938ih6`=9e867>"69;0:>=5f2`83>!4>j307pl>c982>6<729q/=>3A;:i6*=9c81<2=#1809ni5a28f911=#9881=?>4i3c94?"51k0=h65f9183>!4>j307pl>b282>1<729q/=l10e<>n:18'6">938ih6`=9e862>"69;0:>=5f2`83>!4>j3o10e>650;&1=g<1m21b==o50;&1=g<68k10coj50;&1=g5<7s-;:o7<78:J1<<=O98o0(?7m:3:4?!?62;ho7c<6d;7;?!76:3;9<6g=a;29 7?e2?n07d7?:18'6m10coj50;&1=g5<7s-;:o7on;I0;=>N69l1/>4l5a89'=4<5jm1e>4j5589'544=9;:0e?o50;&1=g<1n21b?54?:%0:f?0b32c:N69l1/>4l52958 <7=:kn0b?7k:4c8 475288;7dm10e4>50;&1=g<1l21dni4?:%0:f?c332wi=lh51;694?6|,8;h6lo4H3::?M76m2.95o4n9:&:5?4el2d95i4:b:&257<6:91b>l4?:%0:f?0a32c847>5$3;a>3c<3`;;m7>5$3;a>46e32eih7>5$3;a>`2<3th:o94>:283>5}#98i1>564H3::?M76m2.95o4=869'=4<5jm1e>4j55b9'544=9;:0e?o50;&1=g<1l21b5=4?:%0:f?0c32eih7>5$3;a>`2<3th:mh4>:583>5}#98i1ml5G29;8L47b3-82n7o6;%;2>7dc3g82h7;k;%326?7582c9m7>5$3;a>3`<3`936=4+28`92`=l4?:%0:f?0c32c2<7>5$3;a>3b<3fho6=4+28`9a1=4473`8j6=4+28`92c==n99k1<7*=9c824g==zj8i96<4<:183!76k38346F=889K54c<,;3i6?68;%;2>7dc3g82h78?;%326?7582c9m7>5$3;a>3b<3`3;6=4+28`92a==zj8kj6<4;:183!76k3kj7E<79:J25`=#:0h1m45+9081fa=i:0n1:<5+1009576=n;10;6)<6b;4f?>o68h0;6)<6b;33f>=hjm0;6)<6b;g7?>{e9j;1=7=50;2x 47d2;237E<79:J25`=#:0h1>594$8396gb4473`8j6=4+28`92a==hjm0;6)<6b;g7?>{e9h21=7:50;2x 47d2hk0D?66;I32a>"51k0j56*61;0a`>h51m0=?6*>138265=n:h0;6)<6b;4e?>o403:1(?7m:7g8?l77i3:1(?7m:02a?>iel3:1(?7m:d68?xd5>90:654?:1y'54e=574H03f?!4>j3;;h6*81;18Lc0<,0;1>oj4n3;g>32<,8;96<5<#:0h1>n54i2494?"51k0=h65ff283>!4>j3;:?65`f383>!4>j3o?76g=9;29 7?e2;307d??c;29 7?e28:h7E?>d:9j55?=83.95o4>089K54b<3`;;m7>5$3;a>47332wi>8h51;:94?6|,8;h69l4H3::?M76m2.95o4>0e9'34<43Al=7)7>:3`g?k4>l3<>7)?>2;314>o5=3:1(?7m:3a8?l51290/>4l56e98mc5=83.95o4>1298kc4=83.95o4j4:9j6<<72-82n7<6;:k24f<72-82n7??c:J25a=0`83>!4>j3;:865rb37f>4c;6a?M4?12B:=h5+28`955b<,>;1?6Fi6:&:5?4el2d95i496:&257<6:91b>84?:%0:f?4d32c8:7>5$3;a>3b<3`l86=4+28`9545<3fl96=4+28`9a1==n99i1<7*=9c824f=O98n07d??9;29 7?e28:27E?>d:9j55g=83.95o4>1598yg42l3;147>50z&25f<3j2B9445G10g8 7?e28:o7)9>:29Kb3=#1809ni5a28f922=#9881=?>4i3794?"51k09o65f3783>!4>j307d<6:18'6l:18'6:983>5}#98i18o5G29;8L47b3-82n7??d:&45?5<@o<0(4?52cf8j7?c2?20(4l52b98m60=83.95o49d:9jb6<72-82n7?>3:9lb7<72-82n7k;;:k1=?6=,;3i6?74;h33g?6=,;3i6<>l;I32`>=n9931<7*=9c824<=O98n07d??a;29 7?e28;?76sm24`95?>=83:p(>3A;:i6*=9c824a=#?8087Eh9;%;2>7dc3g82h786;%326?7582c997>5$3;a>7e<3`9=6=4+28`92a==n:00;6)<6b;0:?>o68j0;6)<6b;33g>N69m10e<>6:18'64:9~f73f28036=4?{%32g?2e3A8356F>1d9'6">938ih6`=9e85e>"69;0:>=5f2483>!4>j38h76g<6;29 7?e2?n07dh<:18'66;I32`>=n99k1<7*=9c8251=57?58;294~"69j0?n6F=889K54c<,;3i6<>k;%52>6=On?1/5<4=be9m6k1/=<<51328m73=83.95o4=c:9j73<72-82n78k;:ke7?6=,;3i65<#:0h1>454i02`>5<#:0h1==m4H03g?>o6800;6)<6b;33=>N69m10e<>n:18'607pl=5982>=<729q/="09390Dk84$8396gb4473`8>6=4+28`96f==nn:0;6)<6b;327>=hn;0;6)<6b;g7?>o513:1(?7m:3;8?l77k3:1(?7m:02`?M76l21b==750;&1=g<6801C=t$03`>1d<@;227E?>e:&1=g<68m1/;<4<;Id5?!?62;ho7c<6d;4g?!76:3;9<6g=5;29 7?e2;i07d=9:18'6m10ek=50;&1=g<69:10ck<50;&1=g44?:%0:f?4>32c:5<#:0h1==74H03g?>o68h0;6)<6b;320>=zj;=?6<47:183!76k3>h7E<79:J25`=#:0h1==j4$6397>Na>2.2=7219j60<72-82n75<#:0h1:i54ig194?"51k0:=>54og094?"51k0n865f11a94?"51k0:=n:00;6)<6b;0:?>o4>3:1(?7m:7f8?l`4290/>4l51018?j`5290/>4l5e598m46d290/>4l511a8L47c32c:<44?:%0:f?7712B:=i54i02b>5<#:0h1=<:4;|`137<6210;6=u+10a90f=O:130D5$3;a>47432em>7>5$3;a>`2<3`;;o7>5$3;a>46d3A;:h65f11;94?"51k0:<45G10f8?l77i3:1(?7m:037?>{e:>;1=7650;2x 47d2=i0D?66;I32a>"51k0:7?=0:k11?6=,;3i6?m4;h0:>5<#:0h1>454i2494?"51k0=h65ff283>!4>j3;:?65`f383>!4>j3o?76g>0b83>!4>j3;;o6F>1e98m46>290/>4l511;8L47c32c:5<7s-;:o7:l;I0;=>N69l1/>4l511f8 27=;2Bm:6*61;0a`>h51m0<>6*>138265=n:<0;6)<6b;0`?>o513:1(?7m:3;8?l51290/>4l56e98mc5=83.95o4>1298kc4=83.95o4j4:9j55e=83.95o4>0b9K54b<3`;;57>5$3;a>46>3A;:h65f11c94?"51k0:=954}c05b?7=03:11b87g>N5001C=46c3-=:6>5Gf79'=4<5jm1e>4j5729'544=9;:0e?;50;&1=g<5k21b>44?:%0:f?4>32c8:7>5$3;a>3b<3`l86=4+28`9545<3fl96=4+28`9a1=0883>!4>j3;;56F>1e98m46f290/>4l51068?xd5>l0:654?:1y'54e=574H03f?!4>j3;;h6*81;18Lc0<,0;1>oj4n3;g>22<,8;96<5<#:0h1>n54i3;94?"51k09565f3783>!4>j307d??c;29 7?e28:h7E?>d:9j55?=83.95o4>089K54b<3`;;m7>5$3;a>47332wi>;j51;:94?6|,8;h69m4H3::?M76m2.95o4>0e9'34<43Al=7)7>:3`g?k4>l3=>7)?>2;314>o5=3:1(?7m:3a8?l4>290/>4l52898m60=83.95o49d:9jb6<72-82n7?>3:9lb7<72-82n7k;;:k24f<72-82n7??c:J25a=0`83>!4>j3;:865rb34`>4c;6`?M4?12B:=h5+28`955b<,>;1?6Fi6:&:5?4el2d95i486:&257<6:91b>84?:%0:f?4d32c957>5$3;a>7?<3`9=6=4+28`92a==n99i1<7*=9c824f=O98n07d??9;29 7?e28:27E?>d:9j55g=83.95o4>1598yg41j3;147>50z&25f<3k2B9445G10g8 7?e28:o7)9>:29Kb3=#1809ni5a28f932=#9881=?>4i3794?"51k09o65f2883>!4>j38276g<6;29 7?e2?n07dh<:18'6l:18'6:783>5}#98i18l5G29;8L47b3-82n7;=;%52>6=On?1/5<4=be9m65<#:0h1i954ig394?"51k0:3:11b87e>N5001C=04<,>;1?6Fi6:&:5?4el2d95i489:&257<6:91b>84?:%0:f?4d32c957>5$3;a>7?<3`9=6=4+28`92a==nn80;6)<6b;33g>=n;80;6)<6b;33=>=zj;9=6<49:183!76k3>j7E<79:J25`=#:0h19?5+7080?M`13-3:6?lk;o0:`?1f3-;:>7?=0:k11?6=,;3i6?m4;h0:>5<#:0h1>454i2494?"51k0=h65`f383>!4>j3o?76gi1;29 7?e28:h76g<1;29 7?e28:276sm22795?0=83:p(>3A;:i6*=9c866>"09390Dk84$8396gb4473`8>6=4+28`96f==n;?0;6)<6b;4g?>ia:3:1(?7m:d68?l`6290/>4l511a8?l56290/>4l511;8?xd5;=0:6;4?:1y'54e=574H03f?!4>j3?97)9>:29Kb3=#1809ni5a28f93f=#9881=?>4i3794?"51k09o65f2883>!4>j38276g<6;29 7?e2?n07bh=:18'6?50;&1=g<68010qo<<3;392?6=8r.:=n4;a:J1<<=O98o0(?7m:408 27=;2Bm:6*61;0a`>h51m0138265=n:<0;6)<6b;0`?>o513:1(?7m:3;8?l51290/>4l56e98kc4=83.95o4j4:9jb4<72-82n7??c:9j74<72-82n7??9:9~f755280=6=4?{%32g?2f3A8356F>1d9'6850;&1=g<1l21dj?4?:%0:f?c332cm=7>5$3;a>46d32c8=7>5$3;a>46>32wi>>?51;494?6|,8;h69o4H3::?M76m2.95o4:2:&45?5<@o<0(4?52cf8j7?c2>l0(4l52b98m7?=83.95o4=9:9j73<72-82n78k;:me6?6=,;3i6h:4;hd2>5<#:0h1==m4;h12>5<#:0h1==74;|`175<62?0;6=u+10a90d=O:130D5Gf79'=4<5jm1e>4j5819'544=9;:0e?;50;&1=g<5k21b>44?:%0:f?4>32c8:7>5$3;a>3b<3fl96=4+28`9a1=Na>2.2=7219j60<72-82n75<#:0h1:i54og094?"51k0n865ff083>!4>j3;;o65f3083>!4>j3;;565rb323>4<1290;w)?>c;6b?M4?12B:=h5+28`917=#?8087Eh9;%;2>7dc3g82h76=;%326?7582c997>5$3;a>7e<3`826=4+28`96<==hn;0;6)<6b;g7?>oa93:1(?7m:02`?>o493:1(?7m:02:?>{e9ol1=7850;2x 47d2=k0D?66;I32a>"51k0>>6*81;18Lc0<,0;1>oj4n3;g>=5<,8;96<5<#:0h1>n54i3;94?"51k09565f3783>!4>j307dh>:18'6:18'6fd82>3<729q/==n:00;6)<6b;0:?>o4>3:1(?7m:7f8?j`5290/>4l5e598mc7=83.95o4>0b98m67=83.95o4>0898yg7al3;1:7>50z&25f<3i2B9445G10g8 7?e2<80(:?53:Je2>">938ih6`=9e8;1>"69;0:>=5f2483>!4>j38h76g=9;29 7?e2;307d=9:18'6m10ck<50;&1=g5<7s-;:o7:n;I0;=>N69l1/>4l5539'34<43Al=7)7>:3`g?k4>l32=7)?>2;314>o5=3:1(?7m:3a8?l4>290/>4l52898m60=83.95o49d:9lb7<72-82n7k;;:ke5?6=,;3i6<>l;:k05?6=,;3i6<>6;:a5cd=93<1<7>t$03`>1g<@;227E?>e:&1=g<2:2.<=7=4Hg48 <7=:kn0b?7k:958 475288;7d<::18'67>5$3;a>`2<3`l:6=4+28`955e<3`9:6=4+28`955?<3th:jl4>:783>5}#98i18l5G29;8L47b3-82n7;=;%52>6=On?1/5<4=be9m65<#:0h1i954ig394?"51k0:3:11b87e>N5001C=04<,>;1?6Fi6:&:5?4el2d95i479:&257<6:91b>84?:%0:f?4d32c957>5$3;a>7?<3`9=6=4+28`92a==nn80;6)<6b;33g>=n;80;6)<6b;33=>=zj8l36<49:183!76k3>j7E<79:J25`=#:0h19?5+7080?M`13-3:6?lk;o0:`?>f3-;:>7?=0:k11?6=,;3i6?m4;h0:>5<#:0h1>454i2494?"51k0=h65`f383>!4>j3o?76gi1;29 7?e28:h76g<1;29 7?e28:276sm1g595?0=83:p(>3A;:i6*=9c866>"09390Dk84$8396gb4473`8>6=4+28`96f==n;?0;6)<6b;4g?>ia:3:1(?7m:d68?l`6290/>4l511a8?l56290/>4l511;8?xd6m?0:654?:1y'54e=574H03f?!4>j3;;h6*81;18Lc0<,0;1>oj4n3;g>=e<,8;96<5<#:0h1>n54i2494?"51k0=h65ff283>!4>j3;:?65`f383>!4>j3o?76g=9;29 7?e2;307d??c;29 7?e28:h7E?>d:9j55?=83.95o4>089K54b<3`;;m7>5$3;a>47332wi=i>51;494?6|,8;h6964H3::?M76m2.95o4:2:&45?5<@o<0(4?52cf8j7?c21n0(4l52b98m60=83.95o49d:9lb7<72-82n7k;;:k1=?6=,;3i6?74;hd2>5<#:0h1==m4;h12>5<#:0h1==74;|`264<6210;6=u+10a90g=O:130D850;&1=g<1l21bj>4?:%0:f?76;21dj?4?:%0:f?c332c957>5$3;a>7?<3`;;o7>5$3;a>46d3A;:h65f11;94?"51k0:<45G10f8?l77i3:1(?7m:037?>{e9lh1=7650;2x 47d2=h0D?66;I32a>"51k0:a3-;:>7?=0:k11?6=,;3i6?m4;h15>5<#:0h1:i54ig194?"51k0:=>54og094?"51k0n865f2883>!4>j38276g>0b83>!4>j3;;o6F>1e98m46>290/>4l511;8L47c32c:5<7s-;:o77l;I0;=>N69l1/>4l511:8 <7=:kn0(:?5e:l1=a<>82.:=?4=b99j22<72-82n7?k;o0:=?6<3`<36=4+28`95a=i:031=65f6883>!4>j3;o7c<69;08?l0f290/>4l51e9m6o<51;794?6|,8;h64m4H3::?M76m2.95o4>099'=4<5jm1/;<4;;o0:`??63-;:>75=h5100:76g99;29 7?e28n0b?76:398m3g=83.95o4>d:l1=<<432eih7>5$3;a>`2<3th9n>4>:483>5}#98i15n5G29;8L47b3-82n7??8:&:5?4el2.<=7;<;o0:`??53-;:>75=h5100:76g99;29 7?e28n0b?76:398m3g=83.95o4>d:l1=<<432eih7>5$3;a>`2<3th9n94>:483>5}#98i15n5G29;8L47b3-82n7??8:&:5?4el2.<=7??;o0:`??43-;:>75=h5100:76g99;29 7?e28n0b?76:398m3g=83.95o4>d:l1=<<432eih7>5$3;a>`2<3th9n84>:483>5}#98i15n5G29;8L47b3-82n7??8:&:5?4el2.<=7;<;o0:`??33-;:>75=h5100:76g99;29 7?e28n0b?76:398m3g=83.95o4>d:l1=<<432eih7>5$3;a>`2<3th9m54>:483>5}#98i15n5G29;8L47b3-82n7??8:&:5?4el2.<=7k4n3;g><3<,8;96?l7;h44>5<#:0h1=i5a28;94>=n>10;6)<6b;3g?k4>13;07d86:18'64752:9j2d<72-82n7?k;o0:=?5<3fho6=4+28`9a1=7;%;2>7dc3-=:6o5a28f9=3=#9881>o64i7594?"51k0:h6`=9883?>o103:1(?7m:0f8j7?>2810e;750;&1=g<6l2d9544=;:k5e?6=,;3i66==zj;h:6<4;:183!76k33j7E<79:J25`=#:0h1==64$8396gb<,>;1m6`=9e8:3>"69;09n55f6683>!4>j3;o7c<69;28?l0?290/>4l51e9m65<#:0h1i954}c3b7?7=<3:11b8:e>N5001C=46?3-3:6?lk;%52>4e4473`<<6=4+28`95a=i:031<65f6983>!4>j3;o7c<69;38?l0>290/>4l51e9m6099'=4<5jm1/;<4=8:l1=a<>12.:=?4>219j22<72-82n7?k;o0:=?6<3`<36=4+28`95a=i:031=65f6883>!4>j3;o7c<69;08?l0f290/>4l51e9m6099'=4<5jm1/;<4>9:l1=a<>i2.:=?4>219j22<72-82n7?k;o0:=?6<3`<36=4+28`95a=i:031=65`be83>!4>j3o?76sm26795?3=83:p(>3A;:i6*=9c824==#1809ni5+708;?k4>l33i7)?>2;314>o1?3:1(?7m:0f8j7?>2910e;650;&1=g<6l2d9544>;:k5=?6=,;3i67=h5100876amd;29 7?e2l>07pl>e682>0<729q/=">938ih6*81;;8j7?c20i0(4l51e9m65<#:0h1=i5a28;96>=n>h0;6)<6b;3g?k4>13907blk:18'6:49m6d:l1=<<732c=47>5$3;a>4bo1i3:1(?7m:0f8j7?>2:10coj50;&1=g5<7s-;:o77n;I0;=>N69l1/>4l511:8 <7=:kn0(:?51`9m6d:l1=<<732c=47>5$3;a>4biel3:1(?7m:d68?xd6:>0:684?:1y'54e=1j1C>574H03f?!4>j3;;46*61;0a`>"093;97c<6d;;e?!76:3;9<6g97;29 7?e28n0b?76:198m3>=83.95o4>d:l1=<<632c=57>5$3;a>4biel3:1(?7m:d68?xd5??0:684?:1y'54e=1j1C>574H03f?!4>j3;;46*61;0a`>"093>0b?7k:`28 475288;7d88:18'64750:9j2=<72-82n7?k;o0:=?7<3`<26=4+28`95a=i:031>65f6`83>!4>j3;o7c<69;18?jdc290/>4l5e598yg7f>3;187>50z&25f<>i2B9445G10g8 7?e28:37)7>:3`g?!162=l0b?7k:`38 475288;7d88:18'64750:9j2=<72-82n7?k;o0:=?7<3`<26=4+28`95a=i:031>65`be83>!4>j3o?76sm20695?3=83:p(>3A;:i6*=9c824==#1809ni5+708e?k4>l3k97)?>2;314>o1?3:1(?7m:0f8j7?>2910e;650;&1=g<6l2d9544>;:k5=?6=,;3i67=h5100876amd;29 7?e2l>07pl95;396?6=8r.:=n4j1:J1<<=O98o0(?7m:328L23<,>=1i95+9081fa=i:0n1m>5+10096g>=hjm0;6)<6b;g7?>{e:1?1=7<50;2x 47d2l;0D?66;I32a>"51k09<6F85:&43?c33-3:6?lk;o0:`?g33-;:>75<#:0h1i954}c333?7=:3:11b8f5>N5001C=76<@>?0(:95e59'=4<5jm1e>4j5a49'544=:k20e;850;&1=g<1l21dni4?:%0:f?c332wi==851;094?6|,8;h6h?4H3::?M76m2.95o4=0:J41>"0?3o?7)7>:3`g?k4>l3k=7)?>2;0a<>o1>3:1(?7m:7f8?jdc290/>4l5e598yg77=3;1>7>50z&25fo64i7494?"51k0=h65`be83>!4>j3o?76sm11695?4=83:p(>3A;:i6*=9c814>N0=2.<;7k;;%;2>7dc3g82h7o7;%326?4e02c=:7>5$3;a>3b<3fho6=4+28`9a1=4H678 21=m=1/5<4=be9m6t$03`>`7<@;227E?>e:&1=g<582B<96*87;g7?!?62;ho7c<6d;cb?!76:38i46g96;29 7?e2?n07blk:18'6=1i95+9081fa=i:0n1mo5+10096g>=hjm0;6)<6b;g7?>{e99:1=7<50;2x 47d2l;0D?66;I32a>"51k09<6F85:&43?c33-3:6?lk;o0:`?gd3-;:>75<#:0h1i954}c:e>4<5290;w)?>c;g2?M4?12B:=h5+28`965=O?<1/;:4j4:&:5?4el2d95i4nd:&257<5j11b:;4?:%0:f?0c32eih7>5$3;a>`2<3th3i7?52;294~"69j0n=6F=889K54c<,;3i6?>4H678 21=m=1/5<4=be9m67)98:d68 <7=:kn0b?7k:`d8 4752;h37d89:18'6m10coj50;&1=g"0?3o?7)7>:3`g?k4>l3h;7)?>2;0a<>o1>3:1(?7m:7f8?jdc290/>4l5e598yg>e28096=4?{%32g?c63A8356F>1d9'6">938ih6`=9e8a5>"69;09n55f6783>!4>j307pl7a;396?6=8r.:=n4j1:J1<<=O98o0(?7m:328L23<,>=1i95+9081fa=i:0n1n?5+10096g>=hjm0;6)<6b;g7?>{e000:6?4?:1y'54e=m81C>574H03f?!4>j38;7E9:;%54>`2<,0;1>oj4n3;g>g5<,8;96?l7;h45>5<#:0h1:i54ocf94?"51k0n865rb9:95?4=83:p(>3A;:i6*=9c814>N0=2.<;7k;;%;2>7dc3g82h7l;;%326?4e02c=:7>5$3;a>3b<3fho6=4+28`9a1=k;%52>a=#1809ni5a28f9f0=#9881=?>4i3794?"51k09o65f3783>!4>j30`83>!4>j3;:865`f383>!4>j3o?76g=9;29 7?e2;307d??c;29 7?e28:h7E?>d:9j55?=83.95o4>089K54b<3`;:?7>5$3;a>4743A;:h65rb07;>4<4290;w)?>c;;4?M4?12B:=h5+28`955><,0;1>oj4$6395g=i:0n1n;5+1009576h5100;76amd;29 7?e2l>07d87:18'64751:l25d<732wi=8851;194?6|,8;h6494H3::?M76m2.95o4>099'=4<5jm1/;<4>b:l1=a219j22<72-82n7?k;o0:=?6<3fho6=4+28`9a1=h5100:7c?>a;28?xd6==0:6>4?:1y'54e=1>1C>574H03f?!4>j3;;46*61;0a`>"093;i7c<6d;`;?!76:3;9<6g97;29 7?e28n0b?76:198kgb=83.95o4j4:9j2=<72-82n7?k;o0:=?71b8:3>N5001C=46?3-3:6?lk;%52>4d4473`<<6=4+28`95a=i:031<65`be83>!4>j3o?76g98;29 7?e28n0b?76:09m54g=821vn<;?:080>5<7s-;:o778;I0;=>N69l1/>4l511:8 <7=:kn0(:?51c9m6d:l1=<<732eih7>5$3;a>`2<3`<36=4+28`95a=i:031=6`>1`83?>{e9=o1=7=50;2x 47d20=0D?66;I32a>"51k0:<55+9081fa=#?80:n6`=9e8af>"69;0:>=5f6683>!4>j3;o7c<69;28?jdc290/>4l5e598m3>=83.95o4>d:l1=<<63g;:m7>4;|`20f<62:0;6=u+10a9=2=O:130D5$3;a>4b!4>j3;o7c<69;38j47f2910qo?;a;397?6=8r.:=n467:J1<<=O98o0(?7m:02;?!?62;ho7)9>:0`8j7?c2kn0(4l51e9m65$3;a>4b=zj89=6<4<:183!76k33<7E<79:J25`=#:0h1==64$8396gb<,>;1=o5a28f9f`=#9881=?>4i7594?"51k0:h6`=9883?>iel3:1(?7m:d68?l0?290/>4l51e9m6t$03`><1<@;227E?>e:&1=g<6811/5<4=be9'34<6j2d95i4mf:&257<6:91b::4?:%0:f?7c3g8257>4;n`g>5<#:0h1i954i7:94?"51k0:h6`=9882?k76i3:07pl>3382>6<729q/=">938ih6*81;3a?k4>l3i;7)?>2;314>o1?3:1(?7m:0f8j7?>2910coj50;&1=g5=7;%;2>7dc3-=:6f7<,8;96<5<#:0h1=i5a28;94>=hjm0;6)<6b;g7?>o103:1(?7m:0f8j7?>281e=1d9'64j5c39'544=9;:0e;950;&1=g<6l2d9544?;:ma`?6=,;3i6h:4;h4;>5<#:0h1=i5a28;95>h69h0;76sm19d95?5=83:p(>3A;:i6*=9c824==#1809ni5+7082f>h51m0h?6*>138265=n>>0;6)<6b;3g?k4>13:07blk:18'6;o32e?6<3th:4i4>:283>5}#98i15:5G29;8L47b3-82n7??8:&:5?4el2.<=7?m;o0:`?e33-;:>7?=0:k53?6=,;3i65==n>10;6)<6b;3g?k4>13;0b50z&25f<>?2B9445G10g8 7?e28:37)7>:3`g?!1628h0b?7k:b78 475288;7d88:18'64750:9lfa<72-82n7k;;:k54=i98k1<65rb0::>4<4290;w)?>c;;4?M4?12B:=h5+28`955><,0;1>oj4$6395g=i:0n1o;5+1009576h5100;76amd;29 7?e2l>07d87:18'64751:l25d<732wi=5951;194?6|,8;h6494H3::?M76m2.95o4>099'=4<5jm1/;<4>b:l1=a219j22<72-82n7?k;o0:=?6<3fho6=4+28`9a1=h5100:7c?>a;28?xd60<0:6>4?:1y'54e=1>1C>574H03f?!4>j3;;46*61;0a`>"093;i7c<6d;a;?!76:3;9<6g97;29 7?e28n0b?76:198kgb=83.95o4j4:9j2=<72-82n7?k;o0:=?71b8:3>N5001C=46?3-3:6?lk;%52>4d4473`<<6=4+28`95a=i:031<65`be83>!4>j3o?76g98;29 7?e28n0b?76:09m54g=821vn<9<:080>5<7s-;:o778;I0;=>N69l1/>4l511:8 <7=:kn0(:?51c9m6d:l1=<<732eih7>5$3;a>`2<3`<36=4+28`95a=i:031=6`>1`83?>{e9>;1=7=50;2x 47d20=0D?66;I32a>"51k0:<55+9081fa=#?80:n6`=9e8`f>"69;0:>=5f6683>!4>j3;o7c<69;28?jdc290/>4l5e598m3>=83.95o4>d:l1=<<63g;:m7>4;|`22c<62:0;6=u+10a9=2=O:130D5$3;a>4b!4>j3;o7c<69;38j47f2910qo?9d;397?6=8r.:=n467:J1<<=O98o0(?7m:02;?!?62;ho7)9>:0`8j7?c2jn0(4l51e9m65$3;a>4b=zj8;1=o5a28f9g`=#9881=?>4i7594?"51k0:h6`=9883?>iel3:1(?7m:d68?l0?290/>4l51e9m6t$03`><1<@;227E?>e:&1=g<6811/5<4=be9'34<6j2d95i4lf:&257<6:91b::4?:%0:f?7c3g8257>4;n`g>5<#:0h1i954i7:94?"51k0:h6`=9882?k76i3:07pl>6682>6<729q/=">938ih6*81;3a?k4>l3n;7)?>2;314>o1?3:1(?7m:0f8j7?>2910coj50;&1=g5=7;%;2>7dc3-=:6a7<,8;96<5<#:0h1=i5a28;94>=hjm0;6)<6b;g7?>o103:1(?7m:0f8j7?>281e=1d9'64j5d39'544=9;:0e;950;&1=g<6l2d9544?;:ma`?6=,;3i6h:4;h4;>5<#:0h1=i5a28;95>h69h0;76sm1``95?5=83:p(>3A;:i6*=9c824==#1809ni5+7082f>h51m0o?6*>138265=n>>0;6)<6b;3g?k4>13:07blk:18'6;o32e?6<3th:m44>:283>5}#98i15:5G29;8L47b3-82n7??8:&:5?4el2.<=7?m;o0:`?b33-;:>7?=0:k53?6=,;3i65==n>10;6)<6b;3g?k4>13;0b50z&25f<>?2B9445G10g8 7?e28:37)7>:3`g?!1628h0b?7k:e78 475288;7d88:18'64750:9lfa<72-82n7k;;:k54=i98k1<65rb0:1>4<4290;w)?>c;;4?M4?12B:=h5+28`955><,0;1>oj4$6395g=i:0n1h;5+1009576h5100;76amd;29 7?e2l>07d87:18'64751:l25d<732wi=hm51;794?6|,8;h64m4H3::?M76m2.95o4>099'=4<5jm1/;<4>1:l1=a219j22<72-82n7?k;o0:=?6<3`<36=4+28`95a=i:031=65f6883>!4>j3;o7c<69;08?l0f290/>4l51e9m6099'=4<5jm1/;<4219j22<72-82n7?k;o0:=?6<3`<36=4+28`95a=i:031=65f6883>!4>j3;o7c<69;08?l0f290/>4l51e9m6?:51;794?6|,8;h64m4H3::?M76m2.95o4>099'=4<5jm1/;<4;;o0:`?b>3-;:>7?=0:k53?6=,;3i65=h5100:76g99;29 7?e28n0b?76:398m3g=83.95o4>d:l1=<<432eih7>5$3;a>`2<3th:>44>:483>5}#98i15n5G29;8L47b3-82n7??8:&:5?4el2.<=784n3;g>ag<,8;96<5<#:0h1=i5a28;94>=n>10;6)<6b;3g?k4>13;07d86:18'64752:9j2d<72-82n7?k;o0:=?5<3fho6=4+28`9a1=7;%;2>7dc3-=:695a28f9`g=#9881=?>4i7594?"51k0:h6`=9883?>o103:1(?7m:0f8j7?>2810e;750;&1=g<6l2d9544=;:k5e?6=,;3i66==zj8k?6<4::183!76k33h7E<79:J25`=#:0h1==64$8396gb<,>;1=95a28f9`f=#9881=?>4i7594?"51k0:h6`=9883?>o103:1(?7m:0f8j7?>2810e;750;&1=g<6l2d9544=;:k5e?6=,;3i66==zj;;j6<4::183!76k33h7E<79:J25`=#:0h1==64$8396gb<,>;1=85a28f9`a=#9881=?>4i7594?"51k0:h6`=9883?>o103:1(?7m:0f8j7?>2810e;750;&1=g<6l2d9544=;:k5e?6=,;3i66==zj;;m6<4::183!76k33h7E<79:J25`=#:0h1==64$8396gb<,>;1?h5a28f9``=#9881=?>4i7594?"51k0:h6`=9883?>o103:1(?7m:0f8j7?>2810e;750;&1=g<6l2d9544=;:k5e?6=,;3i66==zj88=6<4;:183!76k33j7E<79:J25`=#:0h1==64$8396gb<,>;18:5a28f9`c=#9881=?>4i7594?"51k0:h6`=9883?>o103:1(?7m:0f8j7?>2810e;750;&1=g<6l2d9544=;:ma`?6=,;3i6h:4;|`1<7<62<0;6=u+10a9=f=O:130D4$031>4473`<<6=4+28`95a=i:031<65f6983>!4>j3;o7c<69;38?l0>290/>4l51e9m65<#:0h1i954}c0a3?7=<3:11b8:e>N5001C=46?3-3:6?lk;%52>137d?3`<<6=4+28`95a=i:031<65f6983>!4>j3;o7c<69;38?l0>290/>4l51e9m6<651;794?6|,8;h64m4H3::?M76m2.95o4>099'=4<5jm1/;<4219j22<72-82n7?k;o0:=?6<3`<36=4+28`95a=i:031=65f6883>!4>j3;o7c<69;08?l0f290/>4l51e9m6<751;794?6|,8;h64m4H3::?M76m2.95o4>099'=4<5jm1/;<4219j22<72-82n7?k;o0:=?6<3`<36=4+28`95a=i:031=65f6883>!4>j3;o7c<69;08?l0f290/>4l51e9m6i4?:381>6}#98i1>?5G29;8L47b3-3:6?lk;o0:`?c33-;:>7o64i7494?"51k0=h65`be83>!4>j3o?76sm2082>7<729q/={tjm0;6?uQbe9>642ft$03`>24<@;227E?>e:&1=g<582.2=7219j23<72-82n78k;:ma`?6=,;3i6h:4H3;b?>{e9:n1=7<50;2x 47d2>80D?66;I32a>"51k09<6*61;0a`>h51m0n46*>138265=n>?0;6)<6b;4g?>iel3:1(?7m:d68L7?f32wi=4;51;094?6|,8;h6:<4H3::?M76m2.95o4=0:&:5?4el2d95i4j9:&257<6:91b:;4?:%0:f?0c32eih7>5$3;a>`2<@;3j76sm16595?4=83:p(>3A;:i6*=9c814>">938ih6`=9e8fe>"69;0:>=5f6783>!4>j30D?7n;:a5f6=9381<7>t$03`>24<@;227E?>e:&1=g<582.2=7219j23<72-82n78k;:ma`?6=,;3i6h:4H3;b?>{e9ko1=7<50;2x 47d2>80D?66;I32a>"51k09<6*61;0a`>h51m0no6*>138265=n>?0;6)<6b;4g?>iel3:1(?7m:d68L7?f32wi=om51;094?6|,8;h6:<4H3::?M76m2.95o4=0:&:5?4el2d95i4jd:&257<6:91b:;4?:%0:f?0c32eih7>5$3;a>`2<@;3j76sm1cc95?4=83:p(>3A;:i6*=9c814>">938ih6`=9e8fa>"69;0:>=5f6783>!4>j30D?7n;:a5g>=9381<7>t$03`>24<@;227E?>e:&1=g<582.2=7219j23<72-82n78k;:ma`?6=,;3i6h:4H3;b?>{e9k<1=7<50;2x 47d2>80D?66;I32a>"51k09<6*61;0a`>h51m0m<6*>138265=n>?0;6)<6b;4g?>iel3:1(?7m:d68L7?f32wi=l?51;094?6|,8;h6:<4H3::?M76m2.95o4=0:&:5?4el2d95i4i1:&257<6:91b:;4?:%0:f?0c32eih7>5$3;a>`2<@;3j76sm2`a95?3=83:p(>3A;:i6*=9c824==#1809ni5+70876>h51m0m>6*>1381f==n>>0;6)<6b;3g?k4>13:07d87:18'64751:9j2<<72-82n7?k;o0:=?4<3`!4>j3o?76sm2`f95?2=83:p(>3A;:i6*=9c8b=>">938ih6`=9e8e7>"69;09n55f6683>!4>j307pl=ag82>0<729q/=">938ih6*81;a8j7?c2o>0(4l51e9m65<#:0h1=i5a28;96>=n>h0;6)<6b;3g?k4>13907blk:18'6l10e;650;&1=g<1n21b==o50;&1=g<68k10coj50;&1=g5<7s-;:o77l;I0;=>N69l1/>4l511:8 <7=:kn0(:?5279m6d:l1=<<732c=47>5$3;a>4bo1i3:1(?7m:0f8j7?>2:10coj50;&1=g5<7s-;:o77l;I0;=>N69l1/>4l511:8 <7=:kn0(:?5179m61/=<<51328m31=83.95o4>d:l1=<<732c=47>5$3;a>4bo1i3:1(?7m:0f8j7?>2:10coj50;&1=g5<7s-;:o7om;I0;=>N69l1/>4l5a89'=4<5jm1e>4j5f99'544=9;:0e;950;&1=g<1m21b:54?:%0:f?0a32c::l51;094?6|,;3i6?>4$03`><2<@8;n7c<6d;d:?l01290/>4l56e98kgb=83.95o4j4:9~f71f280?6=4?{%0:f?7702B:=h5G29;8 <7=:kn0(4j5f`9'544=9;:0e;950;&1=g<6l2d9544?;:k54=h5100976amd;29 7?e2l>07pl>e882>7<729q/>4l5219'54e=1=1C=cd=hjm0;6)<6b;g7?>{e9l21=7:50;2x 7?e28:37E?>e:J1<<=#1809ni5+10a9=g=#?80?j6`=9e8eg>"69;0:>=5f6683>!4>j3;o7c<69;28?l0?290/>4l51e9m65<#:0h1i954}c0;4?7=:3:1"69j0286F>1d9m65$3;a>`2<3th9;k4>:483>5}#:0h1==64H03f?M4?12.2=7m2.<=794n3;g>cc<,8;96<5<#:0h1=i5a28;94>=n>10;6)<6b;3g?k4>13;07d86:18'64752:9j2d<72-82n7?k;o0:=?5<3fho6=4+28`9a1=1b8:0>N69l1e>4j5fg9j23<72-82n78k;:ma`?6=,;3i6h:4;|`132<62:0;6=u+28`955><@8;n7E<79:&:5?4el2.:=n469:&45?5e3g82h7??0:&257<6:91b::4?:%0:f?7c3g8257>4;h4;>5<#:0h1=i5a28;95>=hjm0;6)<6b;g7?>{e:881=7<50;2x 7?e2;:0(5<#:0h1i954}c025?7=<3:17dc3-;:o77m;%52>1`=;%326?7582c=;7>5$3;a>4bo113:1(?7m:0f8j7?>2;10coj50;&1=g5<7s-82n7?0;6)<6b;4g?>iel3:1(?7m:d68?xd59<0:684?:1y'6">938ih6*>1b8:`>"093;m7c<6d;330>"69;0:>=5f6683>!4>j3;o7c<69;28?l0?290/>4l51e9m65<#:0h1=i5a28;97>=hjm0;6)<6b;g7?>{e:8i1=7<50;2x 7?e2;:0(5<#:0h1i954}c02f?7==3:17dc3-;:o77j;%52>079;%326?7582c=;7>5$3;a>4bo113:1(?7m:0f8j7?>2;10e;o50;&1=g<6l2d9544<;:ma`?6=,;3i6h:4;|`164<62;0;6=u+28`965=#98i1595G10g8j7?c28:<7d89:18'6m10coj50;&1=g5<7s-82n7??8:J25`=O:130(4?52cf8 47d20o0(:?5519m64l51e9m65<#:0h1=i5a28;96>=n>h0;6)<6b;3g?k4>13907blk:18'6<2B:=h5a28f955?=hjm0;6)<6b;g7?>{e9;91=7;50;2x 7?e28:37E?>e:J1<<=#1809ni5+10a9=`=#?80?7c<6d;33e>"69;0:>=5f6683>!4>j3;o7c<69;28?l0?290/>4l51e9m65<#:0h1=i5a28;97>=hjm0;6)<6b;g7?>{e:j0:6<4?:1y'6m1/5>4n2:l1=a<68k1bio4?:%0:f?ce32wi:94>:083>5}#:0h1io5G10g8L26<,>=1i95+9284e>"0>33m7c=n:99m55`==91e>4j511a8k`d=83.95o4jb:9~f2`=93;1<7>t$3;a>`d<@8;n7E9?;%54>`2<,091m<5a28f955b=zj1:1=7?50;2x 7?e2lh0D0(4=5a19m64j51028k`d=83.95o4jb:9~f=5=93;1<7>t$3;a>`d<@8;n7E9?;%54>`2<,091n55a28f9547=zj1>1=7?50;2x 7?e2lh0D0(4=5b69m64j51068k`d=83.95o4jb:9~fc1=93;1<7>t$3;a>`d<@8;n7E9?;%54>`2<,091:>5+778:b>h4i320b<>i:428j7?c28;>7bkm:18'65<7s-82n7km;I32a>N082.<;7k;;%;0>2?<,><15k5a3`8;?k77n3?;7c<6d;322>ibj3:1(?7m:d`8?xda13;1=7>50z&1=g<`0g864>h51m0:=:5`ec83>!4>j3oi76smf`82>4<729q/>4l5ec9K54c<@>:0(:95e59'=6<2k2.<:77i;o1b>==i99l19=5a28f954>=zjoh1=7?50;2x 7?e2lh0D0(4=55e9'33<>n2d8m764n02e>065<#:0h1io54}cd`>4<6290;w)<6b;ga?M76m2B<<6*87;g7?!?42;=0(:859g9m7d5$3;a>`d<3thmh7?51;294~"51k0nn6F>1d9K35=#?>0n86*63;14?!1120l0b>o58:l24c<282d95i4>1c9lag<72-82n7km;:abc<6280;6=u+28`9ag=O98o0D:>4$659a1=#1:0??6*86;;e?k5f211e==h5519m65<7s-82n7km;I32a>N082.<;7k;;%;0>2d<,><15k5a3`8;?k77n3?;7c<6d;32`>ibj3:1(?7m:d`8?xu5k3:1?vP=c:?1`?01348h6hl4}r0g>5<5mr7:io4=5:?264<5=27:h=4=5:?2a3<5=27:j:4=5:?2b=<5=27:j44=5:?2bd<5=27:jo4=5:?2bf<5=27:ji4=5:?2b`<5=27:jk4=5:?145<5=279>k4=5:?175<5=279?<4=5:?177<5=279?>4=5:?171<5=279?84=5:?173<5=279?:4=5:?17=<5=279:o4=5:?12f<5=279:i4=5:?12`<5=279:k4=5:?135<5=279;<4=5:?137<5=279;>4=5:?131<5=2799:4=5:?11=<5=279944=5:?11d<5=2799o4=5:?11f<5=2799i4=5:?11`<5=2799k4=5:?125<5=27:h<4=5:?1`?dc3ty=87>53z\50>;1=3ho708;:d`8yv1a2908wS9i;<:;>gb<5>l1io5rs9294?5|V1:01575be9><55<4sW28706l:cf89=5=mk1v5:50;1xZ=2<51n1ni52858ff>{t0<0;6>uQ849><`02lh0q~oj:1803~;50h0>m63>a980<>;6ih08463>ab80<>;6j908463>6481e>;6?109m63>6780<>;6>108463>6`80<>;6>j08463>6d80<>;6?908463>7380<>;6?=08463>8281e>;61?09m63>8580<>;60?08463>8980<>;60h08463>8b80<>;60l08463>9180<>;61;08463>3181e>;6;l09m63>3080<>;6;:08463>3480<>;6;>08463>3980<>;6;008463>3`80<>;6;k08463>4981e>;6=k09m63>4880<>;64e80<>;65080<>;6=:08463>5480<>;6=>084637f;45?8>b2?<015j5679>273n789;<:b>30<5131:;5289852>;5im0=463=b185<>{tio0;6?u226792<=::>h1ni5rsc294?`|5;2i6h;4=0ga>60<588:6>84=0g5>60<58k36?o4=0a2>7g<58kn6>64=0ce>6><58h96>64=0`0>6><58h?6>64=046>6><58286>64=013>6><58>36>64}r`2>5<5s4;957lk;<317>52z?15d<1i279=n4md:pf6<72;q6>677=jm1vo:50;0x94412kn01<<<:7c8yvd22909w0<>8;4b?846>3ho7p}m6;296~;6m>0=563>e88a`>{tj00;6?u220g9fa=::8l1:45rscc94?4|5;8?6oj4=336>3>5<4sWl270??2;`g?8`>2lh0q~hn:180[`f34;;?7lk;`d5<4sWlo70??6;`g?8`c2lh0q~hi:180[`a34;;;7lk;`d52z?26457>=jm1v<<<:181875130;6?u21359fa=:9;31:45rs00b>5<4s4;o=7=9;<3ff?`434;no7lk;|q26g<72;q6=i>5379>57`=jm1v<5<4s4;8<7lk;<305?4f34;8j7?5be9>565=:h16=9>52`9~w4552908w0?<2;`g?87493;;m63>3g8:4>{t9:91<7=t=010>gb<589>6?o4=062>7g53z?2714>0`9>516=191v<=::180874=3ho70?<7;0b?873:38j7p}>3783>6}:9:<1ni52127955g<58>:64>4}r303?6=;r7:?:4md:?27=<5i27:8>4=a:p56>=839p1<=7:cf8945>2;k01<:;:3c8yv7413:1?v3>388a`>;6;h09m63>4481e>{t9:k1<7=t=01b>gb<589i6?o4=065>7g52z?27gu215:9fa=:9=31>l5214a96d=z{8>26=4<{<37=?dc34;?n751g=jm16=97511c8943d20:0q~?;b;297~;64e81e>;6=l09m6s|15a94?5|58>h6oj4=06a>46f34;>h77?;|q20a<72:q6=9j5be9>51`=:h16=8h52`9~w42b2908w0?;e;`g?873l3;;m63>5d8:4>{t9=l1<7=t=06e>gb<58?:6?o4=043>7g<7>53z?2150`9>50`=191v<;>:18087293ho70?:3;0b?871938j7p}>5383>6}:9<81ni52143955g<58<;64>4}r367?6=;r7:9>4md:?210<5i27::?4=a:p502=839p1<;;:cf8943428:j70?91;;3?xu6=<0;6>u21479fa=:9<=1>l5217196d=z{8?=6=4<{<362?dc34;>97??a:?227<>82wx=8950;0x94302kn01<8;:3c8yv7203:1?v3>598a`>;6=>0:2;k0q~?96;297~;6>?0ih63>6981e>;6?h09m6s|17594?5|58<<6oj4=045>46f34;<577?;|q22=<72:q6=;65be9>53g=:h16=:l52`9~w40>2908w0?99;`g?87103;;m63>7`8:4>{t9?k1<7=t=04b>gb<587g53z?22g0`9>52d=191v<8l:180871k3ho70?9e;0b?870l38j7p}>6e83>6}:9?n1ni5217a955g<58=h64>4}r35a?6=;r7::h4md:?235<5i27:;h4=a:p53`=839p1<8i:cf8940b28:j70?8d;;3?xu6?90;6>u21629fa=:9>81>l5216d96d=z{8=:6=4<{<345?dc34;<<7??a:?23`<>82wx=:<50;1x94152kn01<9;:3c894>72;k0q~?83;297~;6?:0ih63>73824d=:9>l15=5rs057>5<5s4;<87lk;<3;5?4f3ty:;84?:2y>523=jm16=::511c894>720:0q~?86;297~;6><0:=1ni5rs0:1>5<5s4;3>7lk;<3;5??73ty:4>4?:2y>5=5=jm16=5:52`9>5<1=:h1v<6;:18087?<3ho70?76;0b?87>038j7p}>8483>6}:91?1ni52196955g<583<64>4}r3;2?6=;r7:4;4md:?2<=<5i27:544=a:p5=1=839p1<68:cf894>128:j70?68;;3?xu6010;6>u219:9fa=:91k1>l5218c96d=z{8226=4<{<3;=?dc34;347??a:?2=<<>82wx=5o50;1x94>f2kn01<6l:3c894?e2;k0q~?7b;297~;60k0ih63>8`824d=:90k15=5rs0:`>5<4s4;3o7lk;<3;a?4f34;2o75=e=99k01<7m:828yv7?m3:1?v3>8d8a`>;61909m63>9e81e>{t91l1<7=t=0:e>gb<582n6<>n;<3:g??73ty:5=4?:2y>5<6=jm16=4<52`9>5:18087>93ho70?60;33e>;61m02<6s|18094?4|58396oj4=0;e>7g53z?2=60`9>5978:4>;61<0ih6s|1`294?4|58k;6oj4=0;e><653z?2e=2kn01a`83>6}:9hk1ni521`a96d=:9j91>l5rs0ca>5<4s4;jn7lk;<3be?77i27:o?460:p5de=839p1ae8a`>;6ij0:5d`=jm16=o>52`9>5f0=:h1vb083>6}:9k;1ni521c2955g<58i=64>4}r3a6?6=;r7:n?4md:?2f6<5i27:o54=a:p5g5=839p1v3>b58a`>;6kh09m6s|1c794?5|58kn6<>n;<3`0??734;i:7lk;|q2f2<72:q6=lh511c894e220:01b3824d=:9j=15=521cc9fa=z{8hi6=4<{<3a7?77i27:o5460:?2ffbg83>7}:9jk15=521b29fa=z{8ii6=4<{<3b3?0f34;j9786;<3b2?dc3ty:on4?:5y>620=jm16>:;56`9>5`1=>h16><;56`9~w4ec2909w0?=7;4b?846:3ho7p}>cd83>1}:9981:;52111923=:9m:1j?52116923=z{8im6=49{<334?0134;;=789;<331?0134;;:789;<333?0134;o=7h=;|q2`7<72;q6>;l5379>5<0=jm1v5<5s48>47=9;<34=?dc3ty:h;4?:3y>63b=;?16=465be9~w4b02909w0<:9;15?870i3ho7p}>d983>7}::?o1?;5218;9fa=z{8n26=4={<06e?5134;;h5379>55<5s48>o7=9;<34`?dc3ty:hh4?:3y>627=;?16=4m5be9~w4ba2909w0<:d;15?870m3ho7p}>e183>7}::>81?;5218f9fa=z{8o:6=4={<06a?5134;:=5379>55<5s48=<7=9;<3;5?dc3ty:i;4?:3y>5`0=n;16>5;5679~w4c02909w0?j6;d0?87b?3ho7p}>ec83>6}::h<19l522`791d=:9lh1j?5rs0gg>5<5s4;m;7h=;<0b3ty:ih4?:3y>5c>=n;16>l656`9~w4ca2908w0?i9;d1?84f03<<70u21gc9b7=::h21:5522`a92==z{8l:6=4<{<3ef?`5348j5787;<0bg?0f3ty:j?4?:2y>5ce=n;16>l75689>6de=>01v3?52z?2bc?:g0897d728:j7p}=0083>7}:9o=1?;5212g9fa=z{;:96=4={<3e516=jm1v?>;:18187ai39=70?;1;`g?xu58<0;6?u21g`973=:9=81ni5rs325>5<5s4;mo7=9;<377?dc3ty9<:4?:3y>5cb=;?16=9:5be9~w76?2909w0?ie;15?873=3ho7p}=0883>7}:9ol1?;521549fa=z{;:j6=4={<034?5134;?;7lk;|q14g<72:q6=>9511c8942520:01:cf8yv47k3:1?v3>39824d=:9=915=521`09fa=z{;:o6=4<{<30=?77i27:89460:?2e6=k50;1x945f28:j70?;5;;3?87f<3ho7p}=0g83>6}:9:h1==o4=065><6<58k>6oj4}r024?6=:r7:8:460:?2e2<:50;0x97732kn01??::7;8yv46=3:1:v3>eb85e>;6:m0=563>2d85e>;5900=m63=148a`>;59?0=:6s|20594?d|5;;36oj4=0d4>7?<58l36?74=0d:>7?<58lj6?74=0da>7?<58lh6?74=0dg>7?<58ln6?74=0de>7?<5;:;6?74}r022?30q~<>f;291~;6mj0=563=1g8a`>;5910=463=1885<>;6:o0:5<5s48<:78n;<0484?:3y>67`=n;16>lo5689~w7412909w0<<0;d1?84fi36}:::;1j?522`c922=::k91:45rs30;>5<4s488>7h=;<0be?0?348i?787;|q16<<72:q6>>=5f39>6g4=>h16>o=5669~w74f2908w0<<4;d1?84e:3<270u22279b7=::k81:5522c6922=z{;8h6=4<{<002?`5348i>788;<0a0?0f3ty9>i4?:2y>661=n;16>o:5699>6g3=>11v?7}::;l1?;5214`9fa=z{;9j6=4={<004?5134;>o7lk;|q17g<72;q6>>?5379>50b=jm1v?=l:181844:39=70?:e;`g?xu5;m0;6?u2221973=:95<5s48887=9;<354?dc3ty9?k4?:3y>663=;?16=;?5be9~w7272909w0<<6;15?871:3ho7p}=4083>7}:::=1?;521719fa=z{;>96=4={<0050g=>?1v?:;:18187d:3ho70?;a;44?xu5<<0;6?u21b19fa=:9=i1::5rs365>5<5s4;h87lk;<37a?003ty98:4?:3y>5f3=jm16=8>5669~w72?2909w0?l6;`g?872:3<<7p}=4883>7}:9j=1ni52146922=z{;>j6=4={<3`:788;|q10g<72;q6=n75be9>50>=>>1v?:l:18187di3ho70?94;;3?xu55<0s48>47h=;<306?0034;=;788;<0;6?0f348:=788;<014?0034;9?787;|q10c<721q6>875f39>62c=>h16=>:5669>53?=>>16>64d=>116>?>5689>575=>01v?;?:18;842i3l970<8e;4:?874>3<<70?9b;44?875>3<<70<>1;4:?846j3<<70<=0;4;?xu5=80;6nu224`9b7=:9h91:5521`092==::>o1:552135922=:9h<1:45217f922=:9h>1:l521`3923=:9;<1:45220`92d=::;:1:l5rs371>5o7h=;<3b7?0>34;j>788;<04a?0034;j:788;<35b?0034;j8787;<312?0?348:i78n;<31=?0?348:m786;|q116<72hq6>8j5f39>5d5=>>16=?95699>5d0=>116=:?5669>5d2=>016>57?=>>16>62`=>h1v?;;:18b842m3l970?n7;44?87f=3<<70?83;44?846m3<370?=8;44?87f<3<<70<>f;4;?840n3<370<>b;4:?xu5=<0;6ou224d9b7=:9h=1:4521`792==:9>?1::521da922=:9;21:55220:922=::831::5213f922=:9;o1:55226d92<=z{;?=6=47{<054?`534;j;787;<3;6?0034;94786;<02b?00348:i788;<02e?00348;l5f39>642=>016=l75669>5<3=>?16>:;5669>5`1=>>1v?8=:185841k3l970<85;4;?87b?3<370<>4;4b?87?=3<<70?nb;44?xu5>:0;6;u227f9b7=::8>1::52195922=:9hn1::5226c92<=:9l21::5rs347>5<1s48=i7h=;<020?0?34;35788;<3a2?0134863`=n;16>:85699>5=d=>>16=o65679~w701290>w0<80;d1?87?l3<<70?m1;44?845<3<370<87;4;?xu5>>0;68u22639b7=:91l1::52236922=:9kk1:;52265922=z{;<36=4:{<046?`5348<:786;<3:5?0034898786;<3ag?013ty9:44?:4y>625=n;16>:85669>5<5=>>16>?:56`9>5gc=>?1v?8n:185840<3l970?n0;44?87d83<=70<8a;4;?87b03<270<>5;44?xu5?<0;6ou227`9b6=::?i1j>5227f9b6=::?o1j>5227d9b6=::>:1j>522639b6=::>81j>522619b6=::>>1j>522679fa=z{;=o6=4>5z?12g<51279:n4=9:?12a<51279:h4=9:?12c<51279;=4=9:?134<51279;?4=9:?136<51279;94=9:?112:k50;0x971b2kn01?6=:7;8yv40n3:1>v3=83853>;5090ih6s|29694?5|V;2?70<75;`g?84?<3oi7p}=a283>6}::h?1j?5213f92==:9;o1::5rs3c7>5<5s48j:7h=;<3g5?77i2wx>l950;0x97g12:<01?l>:cf8yv4f03:1>v3=a98a`>;5i00=m6s|2`;94?4|5;k26oj4=3ce>3>52z?1ed{t:ho1<7=t=3`2>31<5;h<6;94=3`3>gb52z?1ec{t:k>1<7gb<5;h>6;o4}r0a1?6=;r79n84md:?1f4<10279n:498:p6g0=838p1?o::24897d02kn0q~<8c;296~;5?h0ih63=7c852>{t9lk1<7gb<58o26;84}r0;5?6=:r79;k4md:?1<5<1>2wx>:750;0x97102kn01?97:748yv46;3:1>v3=108a`>;59;0=:6s|20f94?4|5;;i6oj4=33`>307>52z?165<496:p573=838p1<<<:cf894432?<0qp`:7g83>7}O98o0Ehl51z39y_3>28q2?7sa28f94>{i=1:1<7l3;0qc;71;295~N69l1Bio4>{38~^0?=5<6sA;:i6Gjb;3x6?{]=00:w4=5}o0:`?56=5rn4:0>5<6sA;:i6Gjb;3x6?{]=00:w4=5}o0:`?26=5rn4:7>5<6sA;:i6Gjb;3x6?{]=00:w4=5}o0:`?36=5rn4:6>5<6sA;:i6Gjb;3x6?{]=00:w4=5}o0:`?06=5rn4:5>5<6sA;:i6Gjb;3x6?{]=00:w4=5}o0:`?16=5rn4:4>5<6sA;:i6Gjb;3x6?{]=00:w4=5}o0:`?>6=5rn4:;>5<6sA;:i6Gjb;3x6?{]=00:w4=5}o0:`??6=5rn4::>5<6sA;:i6Gjb;3x6?{]=00:w4=5}o0:`?g6=5rn4:b>5<6sA;:i6`=9e8a?k76=3:0qc;7b;395~N69l1e>4j5c:&1=2<5?j1vb86l:182M76m2d95i4k;o321?751zJ25`=i:0n1i6sa59g95?7|@8;n7c<6d;d8 7?02;;o7p`:8g82>4}O98o0b?7k:028 7?02;897p`:9183>4}O98o0b?7k:038yk3>93;1=vF>1d9m64952078yk3>:3;1=vF>1d9m64951dc8yk3>;3:1=vF>1d9m65:m1<3=83;pD4}52tP>57:t92842?5f28:m6p`=9e822>{i=0<1<7?tH03f?Lce28q96pT:9;6x=6<0>39j6<>i:|l1=a<6?2we94950;3xL47b3@oi6xh51m0:46sa58:94?7|@8;n7Dkm:0y1>x\213>p5>486;1b>46a2td95i4>9:m14}52tP>57:t92842?5f28:m6p`=9e82e>{i=0k1<7?tH03f?Lce28q96pT:9;6x=6<0>39j6<>i:|l1=a<6j2we94l50;3xL47b3@oi6xh51m0:o6sa58a94?7|@8;n7Dkm:0y1>x\213>p5>486;1b>46a2td95i4>d:m15h4?:0yK54c{I32a>h51m09>6sa5`094?7|@8;n7c<6d;00?xh2i:0;60qc;n4;295~N69l1e>4j5249~j0g2290:wE?>e:l1=a<5>2we9l850;3xL47b3g82h7<8;|l6e2<628qC=7><,;3<6?:j;|l6e=<728qC=7?l5+285961`51zJ25`=i:0n1>o5rn4ca>4<6sA;:i6`=9e81g>"51>099=5rn4c`>5<6sA;:i6`=9e81`>{i=hn1<7?tH03f?k4>l38n7p`:ad83>4}O98o0b?7k:3d8yk3fn3:1=vF>1d9m6n?4?:0yK54c=4}o7a7?6=9rB:=h5a28f971=zf{I32a>h51m0896*=968101=zf6=4>{I32a>h51m08:6sa5c495?7|@8;n7c<6d;14?!4>?38?96sa5c594?7|@8;n7c<6d;1;?xh2j10:64j53c9'6<1=:==0qc;mb;295~N69l1e>4j53b9~j0dd280:wE?>e:l1=a<4l2.95:4=499~j0dc290:wE?>e:l1=a<4m2we9ok51;3xL47b3g82h7=i;%0:3?4312we9oh50;3xL47b3g82h7:?;|l6g5<628qC=17<,;3<6?:n;|l6g4<728qC=147?51zJ25`=i:0n18>5+285961d51zJ25`=i:0n1895rn4a7>5<6sA;:i6`=9e871>{i=j?1<7?tH03f?k4>l3>=7p`:c782>4}O98o0b?7k:558 7?02;>n7p`:c683>4}O98o0b?7k:5:8yk3d03;1=vF>1d9m649525d8yk3d13:1=vF>1d9m6oh4>:0yK54c7353td>ok4?:0yK54c4}o7g4?7=9rB:=h5a28f914=#:0=1>8=4}o7g5?6=9rB:=h5a28f917=zf{I32a>h51m0>?6*=968111=zf{I32a>h51m0>86sa5e695?7|@8;n7c<6d;76?!4>?38>96sa5e794?7|@8;n7c<6d;75?xh2l?0:60;64j5589~j0b>280:wE?>e:l1=a<2i2.95:4=639~j0bf290:wE?>e:l1=a<2j2we9il51;3xL47b3g82h7;l;%0:3?41;2we9im50;3xL47b3g82h7;k;|l6`a<628qC=0c<,;3<6?8;;|l6``<728qC=0`51zJ25`=i:0n1:<5rn4g2>4<6sA;:i6`=9e856>"51>09:;5rn4g1>5<6sA;:i6`=9e857>{i=l91=7?tH03f?k4>l3{i=l>1<7?tH03f?k4>l3<>7p`:e482>4}O98o0b?7k:748 7?02;<37p`:e783>4}O98o0b?7k:758yk3b?3;1=vF>1d9m611/>49527;8yk3b03:1=vF>1d9m601vb8k6:082M76m2d95i49a:&1=2<5>h1vb8kn:182M76m2d95i49b:m1`d=93;pDii4>:0yK54c7053td>ih4?:0yK54c;=4}o7e4?6=9rB:=h5a28f934=zf{I32a>h51m0<>6sa5g094?7|@8;n7c<6d;50?xh2n:0:6>0(?78:345?xh2n=0;6?0qc;i5;295~N69l1e>4j5779~j0`1290:wE?>e:l1=a<0?2we9k950;3xL47b3g82h797;|l6b=<728qC=2?51zJ25`=i:0n1;l5rn4db>5<6sA;:i6`=9e84f>{i=oh1<7?tH03f?k4>l3=h7p`:fb83>4}O98o0b?7k:6f8yk3al3:1=vF>1d9m673-82;7<>3:m256=83;pD63td=<<4?:0yK54c{I32a>h51m0386sa61694?7|@8;n7c<6d;:6?xh18<0;64j5869~j360290:wE?>e:l1=a=g51zJ25`=i:0n14o5rn72a>5<6sA;:i6`=9e8;g>{i>9i1<7?tH03f?k4>l32o7p`90e83>4}O98o0b?7k:9g8yk07m3:1=vF>1d9m6i:182M76m2d95i460:m246=83;pD{I32a>h51m0286sa60694?7|@8;n7c<6d;;6?xh19<0;66;295~N69l1e>4j5969~j370290:wE?>e:l1=a<>02we:<650;3xL47b3g82h776;|l55<<728qC=51zJ25`=i:0n15o5rn73a>5<6sA;:i6`=9e8:g>{i>8i1<7?tH03f?k4>l33o7p`91e83>4}O98o0b?7k:8g8yk06m3:1=vF>1d9m6<4?:0yK54c{I32a>h51m0j86sa63694?7|@8;n7c<6d;c6?xh1:<0;64j5a69~j340290:wE?>e:l1=adg51zJ25`=i:0n1mo5rn70a>5<6sA;:i6`=9e8bg>{i>;i1<7?tH03f?k4>l3ko7p`92e83>4}O98o0b?7k:`g8yk05m3:1=vF>1d9m6{I32a>h51m0i86sa62695?7|@8;n7c<6d;`6?xh1;<0;64j5b69~j350290:wE?>e:l1=a650;3xL47b3g82h7l6;|l57<<628qC=gg<,;3<6?96;|l57d<728qC=gd51zJ25`=i:0n1nn5rn71`>5<6sA;:i6`=9e8a`>{i>:n1<7?tH03f?k4>l3hn7p`93d83>4}O98o0b?7k:cd8yk04n3:1=vF>1d9m6?6=4>{I32a>h51m0h96sa65794?7|@8;n7c<6d;a5?xh14j5c99~j32?290:wE?>e:l1=afd51zJ25`=i:0n1on5rn76`>5<6sA;:i6`=9e8``>{i>=n1<7?tH03f?k4>l3in7p`94d83>4}O98o0b?7k:bd8yk03n3;1=vF>1d9m6:0yK54c{I32a>h51m0o96sa64795?7|@8;n7c<6d;f5?xh1=?0:64j5d99~j33?290:wE?>e:l1=aadn7?51zJ25`=i:0n1hn5rn77`>4<6sA;:i6`=9e8g`>{i>l3nn7p`95d82>4}O98o0b?7k:ed8yk02n3;1=vF>1d9m6:0yK54c{I32a>h51m0n96sa67795?7|@8;n7c<6d;g5?xh1>?0:64j5e99~j30?280:wE?>e:l1=a`d5<6sA;:i6`=9e8f`>{i>?n1<7?tH03f?k4>l3on7p`96d83>4}O98o0b?7k:dd8yk01n3;1=vF>1d9m64952938yk0083:1=vF>1d9Jag<6s;0vV8754z;0>20=;h0:c751zJ25`=i:0n1j?5rn751>5<6sA;:i6`=9e8e7>{i>>91<7?tH03f?k4>l3l?7p`97583>4}O98o0b?7k:g78yk00=3:1=vF>1d9m6{I32a>h51m0mn6sa66`94?7|@8;n7c<6d;d`?xh1?j0;64j5fd9~j31b290:wE?>e:l1=a7?51zl1=a<68:1vb;6<:082k4>l3;;86sa69695?7|f;3o6<>:;|l5<0<628qe>4j51148yk0?>3;1=v`=9e8242=zf?2<6<4>{o0:`?7702we8n:50;3xj7?c28:27psyT4794?7=93o:w^=::3`:>7<6;:82mh4:64f8 7>42ln0(hm5129K1c=Oi11C:=5m2c:94?742o81i=uU25814>=9>0vD884$03;>455=1=5=13gon6?54o9194?"bn32=7ckj:298k=4=83.nj769;ogf>1=50;&fb?>13gon6;54o6d94?"bn32=7ckj:698f7g2280=6=4?{%32g?4?m2.>;79j;%326?4e02.<=7=4H468j7?c281b?;4?::k1g?6=3`9:6=44ig394?=n:00;66ai2;29?xd5i?0:6;4?:1y'54e=:1o0(8957d9'544=:k20(:?53:J60>h51m097d=9:188m7e=831b?<4?::ke5?6=3`826=44og094?=zj8138265=O==1e>4j53:k:4?6=3`8j6=44ocf94?=zj8<86<4<:183!76k382=6*>138265=O==1e>4j54:k:4?6=3`8j6=44ocf94?=zj8?<6<4;:183!76k383j6*>138265=O==1e>4j55:k05<4<4290;w)?>c;0:5>"69;0:>=5G559m62c2<7>5;h0b>5<4<3290;w)?>c;0;b>"69;0:>=5G559m65;h0b>5<138265=O==1e>4j58:k:4?6=3`8j6=44ocf94?=zj8?86<4;:183!76k383j6*>138265=O==1e>4j59:k05<4<4290;w)?>c;0:5>"69;0:>=5G559m65;h0b>5<4<3290;w)?>c;0;b>"69;0:>=5G559m65;h0b>5<138265=O==1e>4j5c:k:4?6=3`8j6=44ocf94?=zj8>m6<4;:183!76k383j6*>138265=O==1e>4j5d:k05<4<4290;w)?>c;0:5>"69;0:>=5G559m65;h0b>5<4<3290;w)?>c;0;b>"69;0:>=5G559m65;h0b>5<138265=O==1e>4j5119j=5<722c9m7>5;n`g>5<0b?7k:038m6>=831b>l4?::k24d<722eih7>5;|`21f<62:0;6=u+10a96<7<,8;96<l3;97d7?:188m7g=831dni4?::a51?=93>1<7>t$03`>7>a3-;:>7?=0:J60>h51m0:?6g<8;29?l4f2900e<>n:188kgb=831vn<;m:080>5<7s-;:o7<61:&257<6:91C995a28f951=n190;66g=a;29?jdc2900qo?;8;390?6=8r.:=n4=8g9'544=9;:0D8:4n3;g>43>o68h0;66amd;29?xd6<>0:6>4?:1y'54e=:0;0(5<>{e9=<1=7=50;2x 47d2;3:7)?>2;314>N2<2d95i4>7:k:4?6=3`8j6=44ocf94?=zj89i6<4;:183!76k383j6*>138265=O==1e>4j5199j7=<722c9m7>5;h33e?6=3fho6=44}c371?7=;3:11b81=4=#9881=?>4H468j7?c2830e4>50;9j6d<722eih7>5;|`27d<62=0;6=u+10a96=`<,8;96<l3;j7d=7:188m7g=831b==o50;9lfa<722wi=9:51;194?6|,8;h6?7>;%326?7582B>86`=9e82f>o>83:17d5<7s-;:o7<7f:&257<6:91C995a28f95f=n;10;66g=a;29?l77i3:17blk:188yg73;3;1?7>50z&25f<5181/=<<51328L025<5;h0b>5<4<3290;w)?>c;0;b>"69;0:>=5G559m65;n`g>5<0b?7k:338m<6=831b>l4?::ma`?6=3th:?84>:583>5}#98i1>5h4$031>4473A??7c<6d;01?l5?2900e?o50;9j55g=831dni4?::a516=9391<7>t$03`>7?63-;:>7?=0:J60>h51m09?6g60;29?l4f2900coj50;9~f454280?6=4?{%32g?4?n2.:=?4>219K11=i:0n1>95f3983>>o5i3:17d??a;29?jdc2900qo?73>iel3:17pl>3082>1<729q/=>{e9:o1=7=50;2x 47d2;3:7)?>2;314>N2<2d95i4=7:k:4?6=3`8j6=44ocf94?=zj89;6<4;:183!76k383j6*>138265=O==1e>4j5299j7=<722c9m7>5;h33e?6=3fho6=44}c3:b?7=;3:11b81=4=#9881=?>4H468j7?c2;30e4>50;9j6d<722eih7>5;|`2=`<62:0;6=u+10a96<7<,8;96<l38j7d7?:188m7g=831dni4?::a5<4=93>1<7>t$03`>7>a3-;:>7?=0:J60>h51m09n6g<8;29?l4f2900e<>n:188kgb=831vn<7k:080>5<7s-;:o7<61:&257<6:91C995a28f96f=n190;66g=a;29?jdc2900qo?60;390?6=8r.:=n4=8g9'544=9;:0D8:4n3;g>7b>o68h0;66amd;29?xd61j0:6>4?:1y'54e=:0;0(5<>{e91o1=7:50;2x 47d2;2m7)?>2;314>N2<2d95i4=f:k05<4<4290;w)?>c;0:5>"69;0:>=5G559m61b814H468j7?c2:;0e>650;9j6d<722c::283>5}#98i1>4?4$031>4473A??7c<6d;11?l?72900e?o50;9lfa<722wi=5o51;694?6|,8;h6?6i;%326?7582B>86`=9e807>o403:17d28086=4?{%32g?4>92.:=?4>219K11=i:0n1?95f9183>>o5i3:17blk:188yg7?03;187>50z&25f<50o1/=<<51328L02;4i2:94?=n:h0;66g>0`83>>iel3:17pl>9982>6<729q/=5;h0b>5<138265=O==1e>4j5399j=5<722c9m7>5;n`g>5<0b?7k:2;8m6>=831b>l4?::k24d<722eih7>5;|`2=3<62:0;6=u+10a96<7<,8;96<l39j7d7?:188m7g=831dni4?::a5=5=93>1<7>t$03`>7>a3-;:>7?=0:J60>h51m08n6g<8;29?l4f2900e<>n:188kgb=831vn<6>:080>5<7s-;:o7<61:&257<6:91C995a28f97f=n190;66g=a;29?jdc2900qo?70;397?6=8r.:=n4=909'544=9;:0D8:4n3;g>6b>iel3:17pl>7582>1<729q/=>{e9>l1=7=50;2x 47d2;3:7)?>2;314>N2<2d95i4138265=O==1e>4j5419j7=<722c9m7>5;h33e?6=3fho6=44}c34a?7=;3:11b81=4=#9881=?>4H468j7?c2=;0e4>50;9j6d<722eih7>5;|`235<62=0;6=u+10a96=`<,8;96<l3>97d=7:188m7g=831b==o50;9lfa<722wi=:j51;194?6|,8;h6?7>;%326?7582B>86`=9e877>o>83:17d5<7s-;:o7<7f:&257<6:91C995a28f901=n;10;66g=a;29?l77i3:17blk:188yg70k3;1?7>50z&25f<5181/=<<51328L02j0:694?:1y'54e=:1l0(5<5;h0b>5<4<3290;w)?>c;0;b>"69;0:>=5G559m65;n`g>5<0b?7k:5;8m<6=831b>l4?::ma`?6=3th::54>:583>5}#98i1>5h4$031>4473A??7c<6d;6b?l5?2900e?o50;9j55g=831dni4?::a52?=9391<7>t$03`>7?63-;:>7?=0:J60>h51m0?n6g60;29?l4f2900coj50;9~f401280?6=4?{%32g?4?n2.:=?4>219K11=i:0n18n5f3983>>o5i3:17d??a;29?jdc2900qo?88;397?6=8r.:=n4=909'544=9;:0D8:4n3;g>1b>iel3:17pl>6482>1<729q/=>{e9jk1=7=50;2x 47d2;3:7)?>2;314>N2<2d95i4;f:k:4?6=3`8j6=44ocf94?=zj8i26<4<:183!76k382=6*>138265=O==1e>4j5519j=5<722c9m7>5;n`g>5<0b?7k:438m6>=831b>l4?::k24d<722eih7>5;|`2g=<62:0;6=u+10a96<7<,8;96<l3?97d7?:188m7g=831dni4?::a5g5=93>1<7>t$03`>7>a3-;:>7?=0:J60>h51m0>?6g<8;29?l4f2900e<>n:188kgb=831vn5<7s-;:o7<61:&257<6:91C995a28f911=n190;66g=a;29?jdc2900qo?m2;390?6=8r.:=n4=8g9'544=9;:0D8:4n3;g>03>o68h0;66amd;29?xd6k?0:6>4?:1y'54e=:0;0(5<>{e9k:1=7:50;2x 47d2;2m7)?>2;314>N2<2d95i4:7:k05<4<4290;w)?>c;0:5>"69;0:>=5G559m61b814H468j7?c2<30e>650;9j6d<722c::283>5}#98i1>4?4$031>4473A??7c<6d;7b?l?72900e?o50;9lfa<722wi=lk51;694?6|,8;h6?6i;%326?7582B>86`=9e86f>o403:17d92.:=?4>219K11=i:0n19n5f9183>>o5i3:17blk:188yg7fk3;187>50z&25f<50o1/=<<51328L020`83>>iel3:17pl>c382>6<729q/=5;h0b>5<138265=O==1e>4j5619j=5<722c9m7>5;n`g>5<0b?7k:738m6>=831b>l4?::k24d<722eih7>5;|`125<62?0;6=u+5687`>"69;0:>=5+10a96=b<,8;<66=O==1e>4j5639j73<722c9o7>5;h12>5<>ia:3:17pl=5g82>3<729q/9:4;d:&257<6:91/=:29K11=i:0n1:>5f3783>>o5k3:17d=>:188mc7=831b>44?::me6?6=3th99h4>:783>5}#=>0?h6*>138265=#98i1>5j4$034>4713-=:6>5G559m6=1b?;4?::k1g?6=3`9:6=44ig394?=n:00;66ai2;29?xd5=m0:6;4?:1y'12<3l2.:=?4>219'54e=:1n0(7>5;|`11f<62?0;6=u+5687`>"69;0:>=5+10a96=b<,8;<66=O==1e>4j5679j73<722c9o7>5;h12>5<>ia:3:17pl=5c82>3<729q/9:4;d:&257<6:91/=:29K11=i:0n1::5f3783>>o5k3:17d=>:188mc7=831b>44?::me6?6=3th99l4>:783>5}#=>0?h6*>138265=#98i1>5j4$034>4713-=:6>5G559m611b?;4?::k1g?6=3`9:6=44ig394?=n:00;66ai2;29?xd5=00:6;4?:1y'12<3l2.:=?4>219'54e=:1n0(7>5;|`11=<62?0;6=u+5687`>"69;0:>=5+10a96=b<,8;<66=O==1e>4j56`9j73<722c9o7>5;h12>5<>ia:3:17pl=5682>3<729q/9:4;d:&257<6:91/=:29K11=i:0n1:o5f3783>>o5k3:17d=>:188mc7=831b>44?::me6?6=3th9;94>:783>5}#=>0?h6*>138265=#98i1>5j4$034>4713-=:6>5G559m6j1b?;4?::k1g?6=3`9:6=44ig394?=n:00;66ai2;29?xd5?:0:6;4?:1y'12<3l2.:=?4>219'54e=:1n0(7>5;|`137<62?0;6=u+5687`>"69;0:>=5+10a96=b<,8;<66=O==1e>4j56d9j73<722c9o7>5;h12>5<>ia:3:17pl=7082>3<729q/9:4;d:&257<6:91/=:29K11=i:0n1:k5f3783>>o5k3:17d=>:188mc7=831b>44?::me6?6=3th9;=4>:783>5}#=>0?h6*>138265=#98i1>5j4$034>4713-=:6>5G559m6o0:6;4?:1y'12<3l2.:=?4>219'54e=:1n0(7>5;|`12`<62?0;6=u+5687`>"69;0:>=5+10a96=b<,8;<66=O==1e>4j5739j73<722c9o7>5;h12>5<>ia:3:17pl=6e82>3<729q/9:4;d:&257<6:91/=:29K11=i:0n1;>5f3783>>o5k3:17d=>:188mc7=831b>44?::me6?6=3th9:n4>:783>5}#=>0?h6*>138265=#98i1>5j4$034>4713-=:6>5G559m6k0:6;4?:1y'12<3l2.:=?4>219'54e=:1n0(7>5;|`17=<62?0;6=u+5687=>"69;0:>=5+10a96=b<,8;<6N2<2d95i486:k02?6=3`8h6=44i2394?=nn80;66g=9;29?j`52900qo<<7;392?6=8r.>;7:6;%326?7582.:=n4=8e9'541=9l1/;<4<;I77?k4>l3=<7d=9:188m7e=831b?<4?::ke5?6=3`826=44og094?=zj;9=6<49:183!302=30(1682a>"09390D8:4n3;g>2>>o493:17dh>:188m7?=831dj?4?::a663=93<1<7>t$4590<=#9881=?>4$03`>7>c3-;:;7?j;%52>6=O==1e>4j5789j73<722c9o7>5;h12>5<>ia:3:17pl=3582>3<729q/9:4;9:&257<6:91/=h51m0?50;9jb4<722c957>5;nd1>5<27)?>2;314>"69j094i5+10595`=#?8087E;;;o0:`?1e3`9=6=44i3a94?=n;80;66gi1;29?l4>2900ck<50;9~f755280=6=4?{%74>1?<,8;96<e:&45?5<@<>0b?7k:6a8m60=831b>n4?::k05?6=3`l:6=44i3;94?=hn;0;66sm22395?0=83:p(895489'544=9;:0(:29K11=i:0n1;i5f3783>>o5k3:17d=>:188mc7=831b>44?::me6?6=3th9?=4>:783>5}#=>0?56*>138265=#98i1>5j4$034>4c<,>;1?6F:4:l1=a<0m2c8:7>5;h0`>5<>o513:17bh=:188yg45n3;1:7>50z&63?2>3-;:>7?=0:&25f<50m1/=<951d9'34<43A??7c<6d;5e?l512900e?m50;9j74<722cm=7>5;h0:>5<4<1290;w);8:5;8 475288;7)?>c;0;`>"69>0:i6*81;18L024i2494?=n:j0;66g<1;29?l`62900e?750;9lb7<722wi=kh51;494?6|,<=1845+1009576<,8;h6?6k;%323?7b3-=:6>5G559m6219'54e=:1n0(86`=9e8;6>o4>3:17d3:11b815<>oa93:17d<6:188kc4=831vn5<7s-?<6974$031>4473-;:o7<7d:&252<6m2.<=7=4H468j7?c21>0e>850;9j6f<722c8=7>5;hd2>5<>{e9oh1=7850;2x 01=<01/=<<51328 47d2;2o7)?>7;3f?!162:1C995a28f9<0=n;?0;66g=c;29?l562900ek?50;9j6<<722em>7>5;|`2bd<62?0;6=u+5687=>"69;0:>=5+10a96=b<,8;<6N2<2d95i476:k02?6=3`8h6=44i2394?=nn80;66g=9;29?j`52900qo?i9;392?6=8r.>;7:6;%326?7582.:=n4=8e9'541=9l1/;<4<;I77?k4>l32<7d=9:188m7e=831b?<4?::ke5?6=3`826=44og094?=zj8l36<49:183!302=30(1682a>"09390D8:4n3;g>=>>o493:17dh>:188m7?=831dj?4?::a5c1=93<1<7>t$4590<=#9881=?>4$03`>7>c3-;:;7?j;%52>6=O==1e>4j5889j73<722c9o7>5;h12>5<>ia:3:17pl>e782>3<729q/9:4;d:&257<6:91/=:29K11=i:0n14l5f3783>>o5k3:17d=>:188mc7=831b>44?::me6?6=3th:h=4>:783>5}#=>0?56*>138265=#98i1>5j4$034>4c<,>;1?6F:4:l1=a5;h0`>5<>o513:17bh=:188yg7593;1:7>50z&63?2c3-;:>7?=0:&25f<50m1/=<951048 27=;2B>86`=9e8;g>o4>3:17d3:11b81N2<2d95i47d:k02?6=3`8h6=44i2394?=nn80;66g=9;29?j`52900qo7h51m03i6g97;29?l0?2900e;750;9j2d<722en87>5;|`1f7<62<0;6=u+10a9=3=#=>0oh6*>1381f==O==1e>4j58g9j22<722c=47>5;h4:>5<>{e:k91=7;50;2x 47d20<0(895c59'544=:k20D8:4n3;g><6>o113:17d8n:188k`2=831vn?l;:086>5<7s-;:o779;%74>a2<,8;96?l7;I77?k4>l33:7d88:188m3>=831b:44?::k5e?6=3fo?6=44}c0a1?7==3:11b8:2>"2?3i?7)?>2;0a<>N2<2d95i462:k53?6=3`<36=44i7;94?=n>h0;66aj4;29?xd5i10:684?:1y'54e=1?1/9:4ld:&257<5j11C995a28f9=6=n>>0;66g98;29?l0>2900e;o50;9la1<722wi>l751;794?6|,8;h6484$459`4=#9881>o64H468j7?c20>0e;950;9j2=<722c=57>5;h4b>5<1<75rb3`2>4<3290;w)?>c;;5?!302m90(5<>ib<3:17pl>a282>1<729q/=219K11=i:0n15;5f6683>>o103:17d86:188k`2=831vn5<7s-;:o779;%74>f?<,8;96<l33<7d88:188m3>=831b:44?::k5e?6=3fo?6=44}c3b6?7=;3:11b8:2>"2?3nh7)?>2;314>N2<2d95i468:k53?6=3`<36=44od694?=zj;=>6<4::183!76k33=7);8:e48 475288;7E;;;o0:`??>3`<<6=44i7:94?=n>00;6E:9;:k5e?6=3fo?6=44}c3f3?7==3:11b8:2>"2?3i>7)?>2;314>N2<2d95i46a:k53?6=3`<36=44i7;94?N3>21b:l4?::mf0?6=3th9;h4>:483>5}#98i15;5+568g3>"69;0:>=5G559m610;66g99;29?jc32900qo?=7;391?6=8r.:=n466:&63?e73-;:>7?=0:J60>h51m02h6g97;29?l0?2900e;750;9j2d<72A>=76aj4;29?xd5??0:684?:1y'54e=1?1/9:4kd:&257<6:91C995a28f9=`=n>>0;66g98;29?l0>2900e;o50;J72>=hm=0;66sm1`495?2=83:p(10;66g99;29?jc32900qo<>4;391?6=8r.:=n466:&63?eb3-;:>7?=0:J60>h51m0j<6g97;29?l0?2900e;750;9j2d<722en87>5;|`51?7=:3:11b8f5>"69;09n55+768f0>N2<2d95i4n1:k52?6=3fho6=44}c0;1?7=:3:11b8f5>"69;09n55+768f0>N2<2d95i4n2:k52?6=3fho6=44}c333?7=:3:11b8f5>"69;09n55+768f0>N2<2d95i4n3:k52?6=3fho6=44}c332?7=:3:11b8f5>"69;09n55+768f0>N2<2d95i4n4:k52?6=3fho6=44}c331?7=:3:11b8f5>"69;09n55+768f0>N2<2d95i4n5:k52?6=3fho6=44}c330?7=:3:11b8f5>"69;09n55+768f0>N2<2d95i4n6:k52?6=3fho6=44}c337?7=:3:11b8f5>"69;09n55+768f0>N2<2d95i4n7:k52?6=3fho6=44}c336?7=:3:11b8f5>"69;09n55+768f0>N2<2d95i4n8:k52?6=3fho6=44}c335?7=:3:11b8f5>"69;09n55+768f0>N2<2d95i4n9:k52?6=3fho6=44}c334?7=:3:11b8f5>"69;09n55+768f0>N2<2d95i4na:k52?6=3fho6=44}c:e>4<5290;w)?>c;g2?!76:38i46*87;g7?M333g82h7om;h45>5<7<729q/=>iel3:17pl7c;396?6=8r.:=n4j1:&257<5j11/;:4j4:J60>h51m0ji6g96;29?jdc2900qo6m:081>5<7s-;:o7k>;%326?4e02.<;7k;;I77?k4>l3km7d89:188kgb=831vn5o51;094?6|,8;h6h?4$031>7d?3-=<6h:4H468j7?c2k:0e;850;9lfa<722wi444>:383>5}#98i1i<5+10096g><,>=1i95G559m61381f==#?>0n86F:4:l1=a5;n`g>5<o7)?>2;314>"69j094i5+1059540<,>;1h6F:4:l1=a5;h0`>5<>o513:17bh=:188yg7bk3;197>50z&25f<>>2.>;7m<;%326?7582B>86`=9e8a0>o1?3:17d87:188m3?=831b:l4?::mf0?6=3th9=h4>:483>5}#98i15;5+568g<>"69;0:>=5G559m610;66g99;29?l0f2900ch:50;9~f44>280>6=4?{%32g??13-?<6nl4$031>4473A??7c<6d;`4?l002900e;650;9j2<<722c=m7>5H548?jc32900qo?=8;391?6=8r.:=n466:&63?bc3-;:>7?=0:J60>h51m0i46g97;29?l0?2900e;750;9j2d<722en87>5;|`2e1<62<0;6=u+10a9=3=#=>0h46*>138265=O==1e>4j5b89j22<722c=47>5;h4:>5<>{e:8k1=7;50;2x 47d20<0(895dd9'544=9;:0D8:4n3;g>gg>o113:17d8n:18K03=1<75rb33e>4<2290;w)?>c;;5?!302j<0(5<>o1i3:1D984;ng7>5<5;h4;>5<>{e:181=7;50;2x 47d20<0(895d49'544=9;:0D8:4n3;g>gb5;h4b>5<1<75rb3`4>4<3290;w)?>c;;5?!302ml0(5<>ib<3:17pl=1982>0<729q/=219K11=i:0n1nk5f6683>>o103:17d86:188m3g=83B?:65`e583>>{e:831=7;50;2x 47d20<0(895c09'544=9;:0D8:4n3;g>f6>o113:17d8n:188k`2=831vn>>51;094?6|,8;h6;l4$031>7d?3-=<6;j4H468j7?c2j;0e;850;9lfa<722wi=8o51;094?6|,8;h6484$459a5=#9881=?>4H468j7?c2j80e;950;9la1<722wi=>j51;094?6|,8;h6484$459a5=#9881=?>4H468j7?c2j90e;950;9la1<722wi=4;51;094?6|,8;h6484$459a5=#9881=?>4H468j7?c2j>0e;950;9la1<722wi=:951;094?6|,8;h6484$459a5=#9881=?>4H468j7?c2j?0e;950;9la1<722wi=n>51;094?6|,8;h6484$459a5=#9881=?>4H468j7?c2j<0e;950;9la1<722wi=ok51;094?6|,8;h6484$459a5=#9881=?>4H468j7?c2j=0e;950;9la1<722wi=om51;094?6|,8;h6484$459a5=#9881=?>4H468j7?c2j20e;950;9la1<722wi=oo51;094?6|,8;h6484$459a5=#9881=?>4H468j7?c2j30e;950;9la1<722wi=o651;094?6|,8;h6484$459a5=#9881=?>4H468j7?c2jk0e;950;9la1<722wi=o851;094?6|,8;h6484$459a5=#9881=?>4H468j7?c2jh0e;950;9la1<722wi=l?51;094?6|,8;h6484$459a5=#9881=?>4H468j7?c2ji0e;950;9la1<722wi>lm51;794?6|,8;h6484$459g7=#9881>o64H468j7?c2jn0e;950;9j2=<722c=57>5;h4b>5<1<75rb3cg>4<3290;w)?>c;0:4>"69;09n55G559m65;n`g>5<5;h4;>5<>ib<3:17pl=b182>1<729q/=>{e9;n1=7;50;2x 47d20<0(895c69'544=9;:0D8:4n3;g>a7>o113:17d8n:188k`2=831vn<5<7s-;:o779;%74>a4<,8;96<l3n97d88:188m3>=831b:44?::k5e?6=3fo?6=44}c31b?7=<3:11b81=5=#9881=?>4H468j7?c2m90e;950;9j2=<722c::583>5}#98i15;5+568gb>"69;0:>=5G559m600;66aj4;29?xd5?o0:684?:1y'54e=1?1/9:4k5:&257<6:91C995a28f9`3=n>>0;66g98;29?l0>2900e;o50;9la1<722wi>:951;194?6|,8;h6484$459`g=#9881=?>4H468j7?c2m=0e;950;9j2=<722en87>5;|`154<62=0;6=u+10a9=3=#=>0o56*>138265=O==1e>4j5d99j22<722c=47>5;h4:>5<1<75rb336>4<2290;w)?>c;;5?!302ji0(5<>o1i3:17bk;:188yg46j3;197>50z&25f<>>2.>;7li;%326?7582B>86`=9e8ge>o1?3:17d87:188m3?=831b:l4?::mf0?6=3th9>=4>:483>5}#98i15;5+568aa>"69;0:>=5G559m610;66g99;29?l0f2900ch:50;9~f7e=93;1<7>t$03`>2><,>=1:i5+928b6>N2<2d95i4kd:m254<722wi:94>:083>5}#98i1i>5+778:b>h4i320b<>i:428 21=m=1/5>48a:J60>h51m0oi6g>1183>>{e?o0:6<4?:1y'54e=m:1/;:4j4:&:7?g63A??7c<6d;fe?l7683:17pl70;395?6=8r.:=n4j3:&43?c33-386l>4H468j7?c2l:0e6280:6=4?{%32g?c43-=<6h:4$819ad=O==1e>4j5e09j546=831vn5<51;394?6|,8;h6h=4$659a1=#1:0n56F:4:l1=a0n86*63;`;?M333g82h7k<;h324?6=3th387?51;294~"69j0n?6*87;g7?!?42k=0D8:4n3;g>`24<6290;w)?>c;g0?!102l>0(4=5e99K11=i:0n1i85f10294?=zj1=1=7?50;2x 47d2l90(:95e59'=6<2j2B>86`=9e8f2>o6990;66smf682>4<729q/=n2d8m764n02e>06<,>=1i95+92857>N2<2d95i4j7:k255<722wij54>:083>5}#98i1i>5+778:b>h4i320b<>i:428 21=m=1/5>489:J60>h51m0n46g>1183>>{en00:6<4?:1y'54e=m:1/;;46f:l0e?>4$659a1=#1:0?86F:4:l1=a86`=9e8fe>o6990;66smfc82>4<729q/=n2d8m764n02e>06<,>=1i95+9286`>N2<2d95i4jb:k255<722wijn4>:083>5}#98i1i>5+778:b>h4i320b<>i:428 21=m=1/5>4=7:J60>h51m0no6g>1183>>{enm0:6<4?:1y'54e=m:1/;;46f:l0e?>4$659a1=#1:08;6F:4:l1=a86`=9e8fa>o6990;66sm29695?7=83:p(4j5eg9j546=831vn?lm:081>4<4s-;:o78=;I32f>h51m0m<6g<0;39?j4c2800n?k50;694?6|,8;h6?6l;%326?4e02B>86`=9e8e5>o1?3:1D984;h4;>5<>50;0xZ66<5;o1::5rs3f94?4|V;n01?k5be9~w7`=83;p1?k511c8yxd4<3;1<7>50zJ1=3=#98i1?>5G10;8Lge4<7290;wE<68:&25fu22`796f=::h<1>n521d`9b7=z{;k86=4<{<0b1?`534;9h787;<31a?003ty9m:4?:3y>6d0=;?16>o?5e59~w7g32909w07}:9?>15=521bc9fa=z{8?<6=4={<350?4f34;>;7lk;|q107<72;q6=;:5be9>66>=;?1v?:m:180871;33;70?:7;33e>;6k00ih6s|14794?5|58<86?o4=074>7g<58?>6oj4}r075?6=:r7::>4md:?172<4>2wxmh4?:24x94302:201<;::2:894342:201<;>:2:8942a2:201<:k:2:8942e2:201<:6:2:8943e2;k01<:7:3c8945e2:201<=n:2:8945>2:201<=7:2:894502:201<=::2:894542:201<=>:2:8945b2;k01<=?:3c894?52:201<7?:2:894>b2:201<6l:2:894>f2:201<67:2:894>12:201<6;:2:894?12;k01<6<:3c894132:201<9=:2:894172:201<8j:2:8940d2:201<8n:2:8940?2:201<89:2:8941?2;k01<8::3c894d72:201?164h496:?;`?01342h6;84=9`923=:0h0=:6379;45?8>?2?<01?ok:7:897d72?20q~<;a;297~;6>;02<63>54824d=:9j21ni5rs070>5<4s4;=>7?7lk;|q105<72;q6=;<5be9>660=;?1v?:6:180871933;70?:3;33e>;6k>0ih6s|14394?5|58<:6?o4=070>7g<58?:6oj4}r00b?6=:r7::<4md:?170<4>2wx>9650;1x940720:01<;>:02b?87d>3ho7p}>4g83>6}:9?:1>l5214396d=:9=l1ni5rs31f>5<5s4;=<7lk;<000?513ty98:4?:2y>50`=1916=9h511c894e22kn0q~?;d;297~;6=o09m63>4g81e>;66053z?21`<>827:8i4>0`9>5f2=jm1v<:m:180872m38j70?;d;0b?873j3ho7p}=3b83>7}:9>6=4<{<36`??734;?n7??a:?2g62kn0q~<{t:=>1<7=t=07`><6<58>26<>n;<3`6?dc3ty:854?:2y>50e=:h16=9752`9>51>=jm1v?=n:181872k3ho70<<0;15?xu6=00;6>u214`9=5=:9=21==o4=07b>`252z?21gk4<6:pf5<72lq6=965399>566=;116=5=5399>533=;116=o:5399>5g5=;116=o<5399>5d`=;116=lk5399>5f7=:h16=l652`9>5`0=;?16=??5379>5`d=;?1v???:181873?33;70?n7;g7?xu6;k0;6?u215596d=:9:h1ni5rs32b>5<5s4;?;7lk;<034?513ty9510=1916=>l511c894g22l>0q~?3c81e>;6;h0ih6s|21;94?4|58>=6oj4=0de>6053z?200<>827:?l4>0`9>5d2=m=1v<=6:180873=38j70?7}:9=?1ni521gg973=z{;:o6=4<{<370??734;857??a:?2e6650;1x94232;k01<=6:3c8945?2kn0q~fe802>{t:9i1<7=t=060><6<58936<>n;<3b6?c33ty:?:4?:2y>515=:h16=>652`9>561=jm1v?>9:181873;3ho70?ic;15?xu58k0;6>u21509=5=:9:=1==o4=0c2>`253z?207<5i27:?:4=a:?270=;50;0x94252kn01408:4>;6;<0:c4<5;=n6;74=005>31<5;;:6;74=33a>31<5;8;6;64}r307?6=;r7:8<4=a:?270<5i27:?>4md:p652=838p1<:>:cf894`f2:<0q~<;f;29e~;6<902<63>32824d=:9>k15=5217:955g<5;?26k<4=35f>3g<5;;:6;64=33a>3><5;8;6;74=000>3?53z?205<5i27:?>4=a:?274==50;0x94272kn013g8:4>;6;80:c4<5;296;o4=332>31<5;8;6;94=000>3>53z?27c<5i27:?<4=a:?275=<50;0x945a2kn013d8:4>;6;90:622=n;16=n>5669>62g=>116=h65689>643=>>1v<7=:18187>n38j70?62;`g?xu6m=0;6?u218d9fa=::>>1?;5rs34:>5<1s4;2i77?;<3:6?77i279;>4i2:?133<1?279>949a:?2f`<1?2wx=4>50;1x94?b2;k01<7=:3c894?72kn0q~?j2;296~;61l0ih63=72802>{t:?21<78t=0;g><6<583;6<>n;<046?`5348<:786;<010?0>34;io788;|q2<`<72:q6=4j52`9>5<6=:h16=5k5be9~w4c72909w0?6d;`g?840:39=7p}=6683>3}:90i15=5219g955g<5;=:6k<4=307>31<58hj6;94=354>3153z?2=f<5i27:4h4=a:?2:248yv41>3:1;v3>9c8:4>;60j0:c4<5;8?6;64=354>3>53z?2=g<5i27:4n4=a:?29`8:4>;60h0:<1:5521c:922=z{8236=4<{<3:e?4f34;3m75;h5379~w703290;59=0=463>b7853>;5?h0=;63>e985<>{t91<1<7=t=0;:>7g<58236?o4=0:5>gb52z?2=<128:j70?l3;;3?87fk3;;m63=6e8e6>;59=0=;63=7`85=>;6m10=;6s|19694?5|58336?o4=0:5>7g<582?6oj4}r3g2?6=:r7:554md:?12a<4>2wx>;<50;:x94?020:01<6;:02b?87d:33;70?na;33e>;5>j0m>63=7485<>;6m>0=463=1585e>{t9191<7=t=0;4>7g<582?6?o4=0:0>gb52z?2=2428:j70?65;g7?xu6l;0;6?u21849fa=::?h1?;5rs375>55=7=:h16=::5be9~w4c22909w0?71;`g?841839=7p}=5483>f}:91:15=52166955g<5;?m6k<4=0c4>3?<58k>6;64=0g`>31<58836;64=33;>31<5;;26;94=00g>31<588n6;64=35e>3?7>53z?2<5<5i27:;94=a:?23772kn01?;i:248yv42<3:1nv3>7g8:4>;6?;0:1::5220d92==::>l1:55220`92<=z{8=;6=4<{<34b?4f34;<>752`=jm16>8k5379~w734290iw0?8e;;3?87083;;m63=5e8e6>;6i:0=;63>2685<>;6i?0=463=1d85=>;6:00=;63>a585=>;59h0=463=7g85e>{t9?o1<7=t=05f>7g<58=;6?o4=04f>gb52z?23`a;4:?875>3<37p}>6b83>6}:9>n1>l5217g96d=:9?i1ni5rs0fg>5<5s4;52e=1916=;m511c8973e2o8016b81e>;6>h0ih6s|1e`94?4|58=h6oj4=37a>6053z?23g<5i27::l4=a:?22=3:1?v3>7`81e>;6>109m63>678a`>{t9m=1<7gb<5;?26>84}r351?6=;r7:;44=a:?223<5i27::84md:p5a3=838p1<96:cf8973?2:<0q~?86;297~;6?102<63>64824d=:9>=1i95rs0f0>5<5s4;<47lk;<063?513ty:nk4?:3y>5fg=1916=n>5e59~w4d32909w0?la;0b?87e<3ho7p}>be83>6}:9j315=521c6955g<58hn6h:4}r3a7?6=;r7:o44=a:?2f1<5i27:n>4md:p5gd=839p1u21b:96d=:9k91>l521c09fa=z{8h26=4<{<3`3??734;i>7??a:?2fd50;1x94e02;k01b181e>;6io0ih6s|1c594?5|58i>64>4=0ce>46f34;i47k;;|q2e`<72:q6=n;52`9>5d`=:h16=lk5be9~w4d22908w0?l4;;3?87fm3;;m63>b78f0>{t9hi1<7=t=0a7>7g<58kn6?o4=0c`>gb53z?2g6<5i27:mn4=a:?2eda9824d=::?h1j?52267922=:9l=1::5220692<=:90?1::5rs360>5<5s4;h=7lk;<36e?003ty9h7>52dy>636=:j16>8h52b9>60c=:j16>8j52b9>60e=:j16>8l52b9>60g=:j16>8752b9>60>=:j16>8952b9>622=:j16>:=52b9>624=:j16>:?52b9>626=:j16>;h52b9>63c=:j16>;j52b9>63e=:j16>;l52b9>66>=:j16>>952b9>660=:j16>>;52b9>662=:j16>>=52b9>664=:j16>>?52b9>666=:j16>?h52b9>656=:j16=kh52b9>5cc=:j16=kj52b9>5ce=:j16=kl52b9>5cg=:j16=k752b9>5c>=:j16=k952b9>5`0=:j16=i>52b9>577=:j16=hl52b9>5a7=:j16>ol52e9~w71c290:9v3=61805>;5=o08=63=5d805>;5=m08=63=5b805>;5=k08=63=5`805>;5=008=63=59805>;5=>08=63=7581=>;5?:09563=7381=>;5?809563=7181=>;5>o09563=6d81=>;5>m09563=6b81=>;5>k09563=838f0>{t:=n1<78t=374>c4<588<6;74=3:1>3><589o6;94=054>31<58886;94}r041?6=jr79;94<1:?136<49279;?4<1:?134<49279;=4<1:?12c<49279:h4<1:?12a<49279:n4<1:?12g<49279;84j4:p64>=83hp1?=7:3;897502;301?=9:3;897522;301?=;:3;897542;301?==:3;897562;301?=?:3;8974a2;301??6:d68yv45m3:1?v3=398e6>;5j80=563=b685=>{t:;n1<7=t=314>c4<5;h?6;64=3`6>3>53z?173?l50;1x97522o801?l=:7:897d32?=0q~<=a;297~;5;=0m>63=b385=>;5j:0=m6s|23;94?5|5;986k<4=3`1>3g<5;h86;94}r01498:p671=839p1?=>:g0897gf2?=01?l<:7;8yv45>3:1>v3=318e6>;5ih0=m6s|23794?4|5;8m6k<4=3cb>3?5bz?145<5127:jk4=9:?2b`<5127:ji4=9:?2bf<5127:jo4=9:?2bd<5127:j44=9:?2b=<5127:j:4=9:?15=5<5s4;mi7h=;<0bb?0>3ty:j>4?:2y>5cb=n;16>l75669>6db=99k0q~?i2;297~;6nj0m>63=a885=>;5ij0=56s|1g394?5|58li6k<4=3c:>3><5;kh6;o4}r3e4?6=;r7:jl4i2:?1e=<10279mn498:p5``=839p1v3>f98e6>;5i10=m6s|1df94?4|58l<6k<4=3c;>3?52z?2a3<4927:i:4j4:p5`0=838p122?<0q~?=b;296~;6l908:63>2g8a`>{t9jo1<7:t=0f3>c4<58:?6;84=020>30<58:96;84}r316?6=:r7:><4<1:?26=5<5s48i>7k;;<0a1?0>3ty9n>4?:3y>6g5=m=16>o:5689~w7d32909w06}::k?1i9522c392==::k=1:55rs3c;>5<5s48j47k;;<0b=?0f3ty9m44?:3y>6d?=m=16>lh5699~w7gb2908w0u21`592d=:9h?1:4521`49a1=z{;=h6=4={<041?0>348:;56`9>5`1=>h16>:85e59>643=>h1v5<5s4;9;78n;<025?c33ty:>:4?:3y>571=m=16=?75689~w71>2909w0<86;4b?840?3o?7p}=1583>7}::8>1i95220792<=z{?>1<7=t=779fa=:>=0:==5Q659~w7>32908w0<75;`g?84?<3;:<6P=859~w4ea290=w0??7;45?877>3<=70??5;45?87793<=70??0;45?87c93l97p}if;297~;68>0ih63if;324>Xan2wxji4?:2y>550=jm16ji4>119]ba=z{oi1<7=t=026>gb<5oi1=<>4^ga8yv`e2908w0??4;`g?8`e28;;7Shm;|qee?6=;r7:<>4md:?ee?7682Tmm6s|f883>6}:9981ni52f88255=Yn01vk650;1x94662kn01k651028Zc>028;;7S68;|q;1?6=;r73i7lk;<:6>4773W2>7p}74;297~;?l3ho706;:033?[>33ty3?7>53z?;g?dc34286528;;7S6=;|q;5?6=;r73m7lk;<:2>4773W2:7p}70;297~;?13ho706?:033?[>73ty53z?;5<2s4;no786;<02b?c3348:4787;<02=?0?34;9j7??a:p643=830q~l6:181846m3o?70<>f;4:?xuei3:1>v3=258f0>;59<0=46s|13794?4|58826;o4=000>`264d=m=1v?<=:181846n3v3>278f0>;6::0=m6s|29394?4|5;296;94=35e>`230<5;i1={t:hh1<7gb<5;km6;o4}r0bb?6=:r79mk4j4:?1f5<1?2wx=?m50;0x944c2l>01<v3>2d8f0>;6:o0=;6srnb14>5<6sg82h7>4}oa0;|l`7<<728qe>4j52:mg6g=83;pb?7k:29~jf5e290:wc<6d;68yke4k3:1=v`=9e86?xhd;m0;6{ik:o1<7?tn3;g>2=zfj9m6=4>{o0:`?>51zl1=a<>3tdh8<4?:0ym64j5c:mg12=83;pb?7k:e9~jf22290:wc<6d;g8yke3>3:1=v`=9e8e?xhd<>0;636=4>{o0:`?763tdh844?:0ym6l3;87p`l4c83>4}i:0n1=95rnb6`>5<6sg82h7?:;|l`0a<728qe>4j5179~jf2b290:wc<6d;34?xhd{o0:`?7>3tdh9<4?:0ym6l3;i7p`l5283>4}i:0n1=n5rnb77>5<6sg82h7?k;|l`10<728qe>4j51d9~jf31290:wc<6d;3e?xhd=>0;6{o0:`?463tdh944?:0ym64j5229~jf3e290:wc<6d;07?xhd=j0;6{o0:`?413tdh9h4?:0ym61vbn;i:182k4>l3837p`l6183>4}i:0n1>45rnb42>5<6sg82h74j52c9~jf04290:wc<6d;0`?xhd>=0;66=4>{o0:`?4b3tdh:;4?:0ym6l39;7p`l6983>4}i:0n1?<5rnb4:>5<6sg82h7==;|l`2d<728qe>4j5329~jf0e290:wc<6d;17?xhd>j0;6{o0:`?513tdh:h4?:0ym61vbn8i:182k4>l3937p`l7183>4}i:0n1?45rnb52>5<6sg82h7=n;|l`37<728qe>4j53c9~jf14290:wc<6d;1`?xhd?=0;66=4>{o0:`?5b3tdh;;4?:0ym6l3>;7p`l7983>4}i:0n18<5rnb5:>5<6sg82h7:=;|l`3d<728qe>4j5429~jf1e290:wc<6d;67?xhd?j0;6{o0:`?213tdh;h4?:0ym61vbn9i:182k4>l3>37p`l8183>4}i:0n1845rnb:2>5<6sg82h7:n;|l`<7<728qe>4j54c9~jf>4290:wc<6d;6`?xhd0=0;66=4>{o0:`?2b3tdh4;4?:0ym6l3?;7p`l8983>4}i:0n19<5rnb::>5<6sg82h7;=;|l`4j5529~jf>e290:wc<6d;77?xhd0j0;6{o0:`?313tdh4h4?:0ym61vbn6i:182k4>l3?37p`l9183>4}i:0n1945rnb;2>5<6sg82h7;n;|l`=7<728qe>4j55c9~jf?4290:wc<6d;7`?xhd1=0;66=4>{o0:`?3b3tdh5;4?:0ym6l3<;7p`l9983>4}i:0n1:<5rnb;:>5<6sg82h78=;|l`=d<728qe>4j5629~jf?e290:wc<6d;47?xhd1j0;6{o0:`?013tdh5h4?:0ym6>1vbn7i:182k4>l3<37p`la183>4}i:0n1:45rnbc2>5<6sg82h78n;|l`e7<728qe>4j56c9~jfg4290:wc<6d;4`?xhdi=0;66=4>{o0:`?0b3tdhm;4?:0ym6o1vbno8:182k4>l3=;7p`la983>4}i:0n1;<5rnbc:>5<6sg82h79=;|l`ed<728qe>4j5729~jfge290:wc<6d;57?xhdij0;6{o0:`?113tdhmh4?:0ym61vbnoi:182k4>l3=37p`lb183>4}i:0n1;45rnb`2>5<6sg82h79n;|l`f7<728qe>4j57c9~jfd4290:wc<6d;5`?xhdj=0;66=4>{o0:`?1b3tdhn;4?:0ym6l32;7p`lb983>4}i:0n14<5rnb`:>5<6sg82h76=;|l`fd<728qe>4j5829~jfde290:wc<6d;:7?xhdjj0;6{o0:`?>13tdhnh4?:0ym61vbnli:182k4>l3237p`lc183>7}i:0n1445rnba2>5<6sg82h76n;|l`g7<728qe>4j58c9~jfe4290:wc<6d;:`?xhdk=0;66=4>{o0:`?>b3tdho;4?:0ym6l33;7p`lc983>4}i:0n15<5rnba:>5<6sg82h77=;|l`gd<728qe>4j5929~jfee290:wc<6d;;7?xhdkj0;6{o0:`??13tdhoh4?:0ym61vbnmi:182k4>l3337p`ld183>4}i:0n1545rnbf2>5<6sg82h77n;|l``7<728qe>4j59c9~jfb4290:wc<6d;;`?xhdl=0;66=4>{o0:`??b3tdhh;4?:0ym6l3k;7p`ld983>4}i:0n1m<5rnbf:>5<6sg82h7o=;|l``d<728qe>4j5a29~jfbe290:wc<6d;c7?xhdlj0;6{o0:`?g13tdhhh4?:0ym61vbnji:182k4>l3k37p`le183>4}i:0n1m45rnbg2>5<6sg82h7on;|l`a7<728qe>4j5ac9~jfc4290:wc<6d;c`?xhdm=0;66=4>{o0:`?gb3tdhi;4?:0ym6l3h;7p`le983>4}i:0n1n<5rnbg:>5<6sg82h7l=;|l`ad<728qe>4j5b29~jfce290:wc<6d;`7?xhdmj0;6{o0:`?d13tdhih4?:0ym61vbnki:182k4>l3h37p`lf183>4}i:0n1n45rnbd2>5<6sg82h7ln;|l`b7<728qe>4j5bc9~jf`4290:wc<6d;``?xhdn=0;66=4>{o0:`?db3tdhj;4?:0ym6l3i;7p`lf983>4}i:0n1o<5rnbd:>5<6sg82h7m=;|l`bd<728qe>4j5c29~jf`e290:wc<6d;a7?xhdnj0;6{o0:`?e13tdhjh4?:0ym61vbnhi:182k4>l3i37p`k0183>4}i:0n1o45rne22>5<6sg82h7mn;|lg47<728qe>4j5cc9~ja64290:wc<6d;a`?xhc8=0;66=4>{o0:`?eb3tdo<;4?:0ym68:182k4>l3n;7p`k0983>4}i:0n1h<5rne2:>5<6sg82h7j=;|lg4d<728qe>4j5d29~ja6e290:wc<6d;f7?xhc8j0;6{o0:`?b13tdo1vbi>i:182k4>l3n37p`k1183>4}i:0n1h45rne32>5<5sg82h7jn;|lg57<728qe>4j5dc9~ja74290:wc<6d;f`?xhc9=0;66=4>{o0:`?bb3tdo=;4?:0ym64j5e19~yx{GHJqoj548c``240?zHIHp=<6t28694?6=:3=357864:x6<2=83:1>797a;4:f>{|:0>1<7>52;5;f?1002wp>4:50;296?1?k3=?>6st28694?6=:3=3h79?8:x6<2=83:1>79{|:0>1<7>52;5;a?0>?2wp>4:50;296?1?n3<246st28694?6=:3=2<78n8:x6<2=83:1>79:f;4`f>{|:0>1<7>52;56a?0d12wp>4:50;296?12l3o78l5:x6<2=83:1>79:b;4`7>{|:0>1<7>52;56e?0d92wp>4:50;296?1213478md:x6<2=83:1?7994;4a0?0c;2wp>4:50;297?11;378k1:x6<2=83:1?7992;4a4?0dn2wp>4:50;296?10;378je:x6<2=83:1>7981;4fg>{|:0>1<7>53;544?0bi3799e;4f2>{|:0>1<7>53;55`?0b<3{|:0>1<7>52;55=?0cl2wp>4:50;296?11037996;4g3>{|:0>1<7>52;551?0c=2wp>4:50;296?11j3799a;4gb>{|:091<7>52;5b4?:181>2ga2;i0qv<63;294?4=?k<1?>5r{3;0>5<72;079me;1a?x}51:0;6=4=:6a0>1650;093f1=<=1vw?7<:183>7<0kj0?56st28194?6=:3=o<7:k;|y1=6<729096:j::408y~4>;3:1<7<57e;913=zs;386=4?:384``<2j2wp>4=50;296?1b:3?m7pu=9283>5<52>o<6;:4}z0:7?6=8381;hl5699~7?4290;6?48f185`>{|:091<7>52;5e0?163tq95>4?:181>2`>2><0qv<63;294?4=?on1;l5r{3;0>5<72;0376?6;:0?x}51:0;6=4=:92a>=>50;09<5`=0j1vw?7<:183>7;3:1<7<58339=`=zs;386=4?:38;604=50;296?>5i3k<7pu=9283>5<5218n6ll4}z0:7?6=83814>=5b19~7?4290;6?47368a0>{|:091<7>52;:0g?d>3tq95>4?:181>=272kn0qv<63;294?4=0=?1o?5r{3;0>5<72;03844l6:x6<5=83:1>76;e;aa?x}51:0;6=4=:971>f`50;09<01=l=1vw?7<:183>7;3:1<7<587:9a0=zs;386=4?:38;2a4=50;296?>093on7pu=9283>5<521==6k=4}z0:7?6=83814:o5f69~7?4290;6?477g8eg>{|:091<7>52;:;7?7782wp>4=50;296?>?03;;96st28194?6=:323o7??9:x6<5=83:1>7661;33a>{|:091<7>52;::1?76:2wp>4=50;296?>>i3;:;6st28194?6=:322i7?>b:x6<5=83:1>76n3;314>{|:091<7>52;:b3?75<2wp>4=50;296?>fk3;956st28194?6=:32i<7?=d:x6<5=83:1>76m5;306>{|:091<7>52;:a=?74>2wp>4=50;296?>el3;8m6st28194?6=:32h>7?76l6;377>{|:091<7>52;:`f?7302wp>4=50;296?>dn3;?o6st28194?6=:32o87?:1:x6<5=83:1>76k8;361>{|:091<7>52;:g`?72i2wp>4=50;296?>b93;>i6st28194?6=:32n:7?93:x6<5=83:1>76ja;353>{|:091<7>52;:fb?71k2wp>4=50;296?>a;3;<<6st28194?6=:32m47?85:x6<5=83:1>76ic;34=>{|:091<7>52;;35?70m2wp>4=50;296??7=3;3>6st28194?6=:33;m7?77:x6<5=83:1>77?e;3;f>{|:091<7>52;;26?7?n2wp>4=50;296??6?3;286st28194?6=:33:n7?68:x6<5=83:1>77=0;3:`>{|:091<7>52;;10?7f92wp>4=50;296??513;j:6st28194?6=:339h7?na:x6<5=83:1>77<2;3bb>{|:091<7>52;;02?7e;2wp>4=50;296??4j3;i46st28194?6=:338j7?mc:x6<5=83:1>77;4;3`5>{|:091<7>52;;74=50;296??3l3;hm6st28194?6=:33>=7?le:x6<5=83:1>77:6;3g7>{|:091<7>52;;6e?7c?2wp>4=50;296??2n3;oo6st28194?6=:33=:7?j5:x6<5=83:1>779d;3fa>{|:091<7>52;;40?7a?2wp>4=50;296??0j38;<6st28194?6=:333>77779;026>{|:091<7>52;;:4?46j2wp>4=50;296??>?38986st28194?6=:332i7<=d:x6<5=83:1>77n5;002>{|:091<7>52;;bg?44n2wp>4=50;296??e;38?46st28194?6=:33im7<:1:x6<5=83:1>77l1;06e>{|:091<7>52;;`4=50;296??dn38=o6st28194?6=:33o:7<85:x6<5=83:1>77kd;04a>{|:091<7>52;;f0?4??2wp>4=50;296??bj382<6st28194?6=:33m>7<67:x6<5=83:1>77i9;0:a>{|:091<7>52;c34?4f=2wp>4=50;296?g7?38jo6st28194?6=:3k;i77o>5;0ae>{|:091<7>52;c2g?4d92wp>4=50;296?g5;38h46st28194?6=:3k9m77o<1;0g2>{|:091<7>52;c04=50;296?g4n38n86st28194?6=:3k?:77o;d;0e6>{|:091<7>52;c60?4a12wp>4=50;296?g2j39;<6st28194?6=:3k=>7=?7:x6<5=83:1>7o99;13a>{|:091<7>52;c44?56=2wp>4=50;296?g0?39:o6st28194?6=:3k7o75;11g>{|:091<7>52;c;g?54=2wp>4=50;296?g>;398i6st28194?6=:3k257=;4:x6<5=83:1>7o6f;17e>{|:091<7>52;cb1?5282wp>4=50;296?gfj39>:6st28194?6=:3ki=7=:c:x6<5=83:1>7om7;156>{|:091<7>52;ca`?5102wp>4=50;296?gd:39=h6st28194?6=:3kh;7=82:x6<5=83:1>7old;14<>{|:091<7>52;cg5?50k2wp>4=50;296?gc?393>6st28194?6=:3koh7=78:x6<5=83:1>7oj3;1;a>{|:091<7>52;cf;2wp>4=50;296?gbm39256st28194?6=:3km87=6f:x6<5=83:1>7oi9;1b0>{|:091<7>52;ceb?5fi2wp>4=50;296?d7:39jh6st28194?6=:3h;97=m0:x6<5=83:1>7l?8;1a7>{|:091<7>52;`3f?5e>2wp>4=50;296?d7m39i56st28194?6=:3h:=7=mc:x6<5=83:1>7l>4;1ab>{|:091<7>52;`23?5d:2wp>4=50;296?d6i39h96st28194?6=:3h:h7=l8:x6<5=83:1>7l=0;1`f>{|:091<7>52;`17?5dm2wp>4=50;296?d5>39o=6st28194?6=:3h957=k4:x6<5=83:1>7l=c;1g3>{|:091<7>52;`1b?5ci2wp>4=50;296?d4:39oh6st28194?6=:3h897=j0:x6<5=83:1>7l{|:091<7>52;`76?20;2wp>4=50;296?d303><56st28194?6=:3h?i7:8f:x6<5=83:1>7l:4;6;1>{|:091<7>52;`6e?2?j2wp>4=50;296?d183>2=6st28194?6=:3h=:7:67:x6<5=83:1>7l9c;6:`>{|:091<7>52;`45?2f:2wp>4=50;296?d0?3>j46st28194?6=:3h7l72;6a7>{|:091<7>52;`;4=50;296?d?j3>h96st28194?6=:3h3i7:l8:x6<5=83:1>7l61;6`f>{|:091<7>52;`:0?2dm2wp>4=50;296?d>?3>o=6st28194?6=:3h2m7:k4:x6<5=83:1>7l6d;6g3>{|:091<7>52;`b4?2ci2wp>4=50;296?df;3>oh6st28194?6=:3hj:7:j0:x6<5=83:1>7ln9;6f7>{|:091<7>52;`bg?2b>2wp>4=50;296?de:3>no6st28194?6=:3hi;7:i1:x6<5=83:1>7lmd;6e3>{|:091<7>52;``6?2ak2wp>4=50;296?dd03?;>6st28194?6=:3hhi7;?8:x6<5=83:1>7lk3;724>{|:091<7>52;`g4=50;296?dcl3?9<6st28194?6=:3hn?7;=9:x6<5=83:1>7lj7;704>{|:091<7>52;`fg?3402wp>4=50;296?da:3??=6st28194?6=:3hm47;;a:x6<5=83:1>7lie;767>{|:091<7>52;a30?3212wp>4=50;296?e7>3?>n6st28194?6=:3i;47;:d:x6<5=83:1>7m?a;76b>{|:091<7>52;a3g?3192wp>4=50;296?e7m3?=?6st28194?6=:3i:<7;95:x6<5=83:1>7m>2;753>{|:091<7>52;a20?3112wp>4=50;296?e6>3?=n6st28194?6=:3i:47;9d:x6<5=83:1>7m>a;75b>{|:091<7>52;a2g?3092wp>4=50;296?e6m3?7m=2;743>{|:091<7>52;a10?3012wp>4=50;296?e5>3?7m=a;6ab>{|:0?1<7>52;a1f?2d82wp>4;50;296?e5k3>h=6st28194?6=;3i897<>:318y~4>;3:1<706c2<;>68?k:405>04c2<9>68=j:464>0372:o26>kk:2d2>6`22:l26>hk:522>1622=:269:6:52g>12c2=;:69;>:536>17>2=;o69<>:576>1422=82691562=?o69=::51:>15c2=>:69:::542>1022=<27psO@Qy~DE \ No newline at end of file diff --git a/main_map.xrpt b/main_map.xrpt new file mode 100644 index 0000000..769b33b --- /dev/null +++ b/main_map.xrpt @@ -0,0 +1,303 @@ + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + + + + + + + + + + + +
+
+
+ + + + + + +
+ +
+ + + + + +
+
+ + + + + + +
+
+ + + + + + + + + + +
+
+
+ +
+
+
+ +
+
+ + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+
+ +
+
+
+
+
+
+
+ +
+
+ + + + diff --git a/main_ngdbuild.xrpt b/main_ngdbuild.xrpt new file mode 100644 index 0000000..de62f04 --- /dev/null +++ b/main_ngdbuild.xrpt @@ -0,0 +1,127 @@ + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + + + + + + + + + + + +
+
+ +
+ + + + +
+
+ +
+ + + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + +
+
+
+
+ + + + diff --git a/main_pad.csv b/main_pad.csv new file mode 100644 index 0000000..0b8315e --- /dev/null +++ b/main_pad.csv @@ -0,0 +1,163 @@ +#Release 14.1 - par P.15xf (nt) +#Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. + +#Thu Feb 21 20:21:23 2013 + +# +## NOTE: This file is designed to be imported into a spreadsheet program +# such as Microsoft Excel for viewing, printing and sorting. The | +# character is used as the data field separator. This file is also designed +# to support parsing. +# +#INPUT FILE: main_map.ncd +#OUTPUT FILE: main_pad.csv +#PART TYPE: xc3s250e +#SPEED GRADE: -5 +#PACKAGE: cp132 +# +# Pinout by Pin Number: +# +# -----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----, +Pin Number,Signal Name,Pin Usage,Pin Name,Direction,IO Standard,IO Bank Number,Drive (mA),Slew Rate,Termination,IOB Delay,Voltage,Constraint,IO Register,Signal Integrity, +A1,,,PROG_B,,,,,,,,,,,, +A2,,,TDI,,,,,,,,,,,, +A3,,DIFFM,IO_L11P_0,UNUSED,,0,,,,,,,,, +A4,,,GND,,,,,,,,,,,, +A5,,,VCCAUX,,,,,,,,2.5,,,, +A6,,,VCCO_0,,,0,,,,,any******,,,, +A7,,DIFFM,IO_L07P_0/GCLK10,UNUSED,,0,,,,,,,,, +A8,,,GND,,,,,,,,,,,, +A9,,DIFFS,IO_L05N_0/GCLK7,UNUSED,,0,,,,,,,,, +A10,,DIFFM,IO_L04P_0/GCLK4,UNUSED,,0,,,,,,,,, +A11,,,VCCINT,,,,,,,,1.2,,,, +A12,,DIFFS,IO_L02N_0,UNUSED,,0,,,,,,,,, +A13,,DIFFM,IO_L01P_0,UNUSED,,0,,,,,,,,, +A14,,,TDO,,,,,,,,,,,, +B1,,DIFFS,IO_L01N_3,UNUSED,,3,,,,,,,,, +B2,,DIFFM,IO_L01P_3,UNUSED,,3,,,,,,,,, +B3,,DIFFS,IO_L11N_0/HSWAP,UNUSED,,0,,,,,,,,, +B4,,DIFFM,IO_L10P_0,UNUSED,,0,,,,,,,,, +B5,,DIFFM,IO_L09P_0,UNUSED,,0,,,,,,,,, +B6,,DIFFM,IO_L08P_0,UNUSED,,0,,,,,,,,, +B7,,DIFFS,IO_L07N_0/GCLK11,UNUSED,,0,,,,,,,,, +B8,,DIFFMI,IP_L06P_0/GCLK8,UNUSED,,0,,,,,,,,, +B9,,DIFFM,IO_L05P_0/GCLK6,UNUSED,,0,,,,,,,,, +B10,,,VCCO_0,,,0,,,,,any******,,,, +B11,,DIFFS,IO_L03N_0/VREF_0,UNUSED,,0,,,,,,,,, +B12,,DIFFM,IO_L02P_0,UNUSED,,0,,,,,,,,, +B13,,,TCK,,,,,,,,,,,, +B14,,,TMS,,,,,,,,,,,, +C1,,,GND,,,,,,,,,,,, +C2,,DIFFS,IO_L02N_3,UNUSED,,3,,,,,,,,, +C3,,DIFFM,IO_L02P_3,UNUSED,,3,,,,,,,,, +C4,,DIFFS,IO_L10N_0,UNUSED,,0,,,,,,,,, +C5,,DIFFS,IO_L09N_0,UNUSED,,0,,,,,,,,, +C6,,DIFFS,IO_L08N_0/VREF_0,UNUSED,,0,,,,,,,,, +C7,,,GND,,,,,,,,,,,, +C8,,DIFFSI,IP_L06N_0/GCLK9,UNUSED,,0,,,,,,,,, +C9,,DIFFS,IO_L04N_0/GCLK5,UNUSED,,0,,,,,,,,, +C10,,,GND,,,,,,,,,,,, +C11,,DIFFM,IO_L03P_0,UNUSED,,0,,,,,,,,, +C12,,DIFFS,IO_L01N_0,UNUSED,,0,,,,,,,,, +C13,,DIFFS,IO_L10N_1/LDC2,UNUSED,,1,,,,,,,,, +C14,RGB<5>,IOB,IO_L10P_1/LDC1,OUTPUT,LVCMOS33,1,8,FAST,NONE**,,,LOCATED,NO,NONE, +D1,,DIFFS,IO_L03N_3,UNUSED,,3,,,,,,,,, +D2,,DIFFM,IO_L03P_3,UNUSED,,3,,,,,,,,, +D3,,,VCCINT,,,,,,,,1.2,,,, +D12,,DIFFS,IO_L09N_1/LDC0,UNUSED,,1,,,,,,,,, +D13,RGB<6>,IOB,IO_L09P_1/HDC,OUTPUT,LVCMOS33,1,8,FAST,NONE**,,,LOCATED,NO,NONE, +D14,,,NC,,,,,,,,,,,, +E1,,,VCCO_3,,,3,,,,,2.50,,,, +E2,,IBUF,IP/VREF_3,UNUSED,,3,,,,,,,,, +E3,,,GND,,,,,,,,,,,, +E12,,,VCCAUX,,,,,,,,2.5,,,, +E13,,,VCCO_1,,,1,,,,,3.30,,,, +E14,,,GND,,,,,,,,,,,, +F1,,DIFFM,IO_L05P_3/LHCLK2,UNUSED,,3,,,,,,,,, +F2,,DIFFS,IO_L04N_3/LHCLK1,UNUSED,,3,,,,,,,,, +F3,,DIFFM,IO_L04P_3/LHCLK0,UNUSED,,3,,,,,,,,, +F12,,DIFFS,IO/A0,UNUSED,,1,,,,,,,,, +F13,RGB<7>,IOB,IO_L08N_1/A1,OUTPUT,LVCMOS33,1,8,FAST,NONE**,,,LOCATED,NO,NONE, +F14,RGB<2>,IOB,IO_L08P_1/A2,OUTPUT,LVCMOS33,1,8,FAST,NONE**,,,LOCATED,NO,NONE, +G1,LED<7>,IOB,IO_L05N_3/LHCLK3/IRDY2,OUTPUT,LVCMOS25*,3,12,SLOW,NONE**,,,LOCATED,NO,NONE, +G2,,,GND,,,,,,,,,,,, +G3,,DIFFM,IO_L06P_3/LHCLK4/TRDY2,UNUSED,,3,,,,,,,,, +G12,,IBUF,IP/VREF_1,UNUSED,,1,,,,,,,,, +G13,RGB<3>,IOB,IO_L07N_1/A3/RHCLK7,OUTPUT,LVCMOS33,1,8,FAST,NONE**,,,LOCATED,NO,NONE, +G14,RGB<4>,IOB,IO_L07P_1/A4/RHCLK6,OUTPUT,LVCMOS33,1,8,FAST,NONE**,,,LOCATED,NO,NONE, +H1,,DIFFS,IO_L06N_3/LHCLK5,UNUSED,,3,,,,,,,,, +H2,,DIFFM,IO_L07P_3/LHCLK6,UNUSED,,3,,,,,,,,, +H3,,DIFFS,IO_L07N_3/LHCLK7,UNUSED,,3,,,,,,,,, +H12,,DIFFS,IO_L06N_1/A5/RHCLK5,UNUSED,,1,,,,,,,,, +H13,RGB<0>,IOB,IO_L06P_1/A6/RHCLK4/IRDY1,OUTPUT,LVCMOS33,1,8,FAST,NONE**,,,LOCATED,NO,NONE, +H14,,,GND,,,,,,,,,,,, +J1,,,GND,,,,,,,,,,,, +J2,,,VCCO_3,,,3,,,,,2.50,,,, +J3,,DIFFS,IO,UNUSED,,3,,,,,,,,, +J12,,DIFFS,IO_L04N_1/A9/RHCLK1,UNUSED,,1,,,,,,,,, +J13,RGB<1>,IOB,IO_L05P_1/A8/RHCLK2,OUTPUT,LVCMOS33,1,8,FAST,NONE**,,,LOCATED,NO,NONE, +J14,HS,IOB,IO_L05N_1/A7/RHCLK3/TRDY1,OUTPUT,LVCMOS33,1,8,FAST,NONE**,,,LOCATED,NO,NONE, +K1,,,VCCAUX,,,,,,,,2.5,,,, +K2,,,NC,,,,,,,,,,,, +K3,,IOB,IO/VREF_3,UNUSED,,3,,,,,,,,, +K12,,,GND,,,,,,,,,,,, +K13,VS,IOB,IO/VREF_1,OUTPUT,LVCMOS33,1,8,FAST,NONE**,,,LOCATED,NO,NONE, +K14,,DIFFM,IO_L04P_1/A10/RHCLK0,UNUSED,,1,,,,,,,,, +L1,,DIFFM,IO_L08P_3,UNUSED,,3,,,,,,,,, +L2,,DIFFS,IO_L08N_3,UNUSED,,3,,,,,,,,, +L3,,DIFFM,IO_L09P_3,UNUSED,,3,,,,,,,,, +L12,,,VCCINT,,,,,,,,1.2,,,, +L13,,DIFFM,IO_L03P_1/A12,UNUSED,,1,,,,,,,,, +L14,,DIFFS,IO_L03N_1/A11,UNUSED,,1,,,,,,,,, +M1,,DIFFS,IO_L09N_3,UNUSED,,3,,,,,,,,, +M2,,DIFFM,IO_L01P_2/CSO_B,UNUSED,,2,,,,,,,,, +M3,,,GND,,,,,,,,,,,, +M4,,DIFFM,IO_L03P_2/D7/GCLK12,UNUSED,,2,,,,,,,,, +M5,LED<0>,IOB,IO_L04P_2/D4/GCLK14,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,LOCATED,NO,NONE, +M6,CLK,IBUF,IP_L05P_2/RDWR_B/GCLK0,INPUT,LVCMOS25*,2,,,,NONE,,LOCATED,NO,NONE, +M7,,,GND,,,,,,,,,,,, +M8,,,VCCO_2,,,2,,,,,2.50,,,, +M9,,DIFFS,IO_L08N_2/A22,UNUSED,,2,,,,,,,,, +M10,,DIFFS,IO_L09N_2/A20,UNUSED,,2,,,,,,,,, +M11,LED<1>,IOB,IO_L10N_2/VS1/A18,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,LOCATED,NO,NONE, +M12,,DIFFM,IO_L02P_1/A14,UNUSED,,1,,,,,,,,, +M13,,DIFFS,IO_L02N_1/A13,UNUSED,,1,,,,,,,,, +M14,,,VCCO_1,,,1,,,,,3.30,,,, +N1,,DIFFS,IO_L01N_2/INIT_B,UNUSED,,2,,,,,,,,, +N2,,DIFFS,IO_L02N_2/MOSI/CSI_B,UNUSED,,2,,,,,,,,, +N3,,DIFFSI,IP/VREF_2,UNUSED,,2,,,,,,,,, +N4,LED<5>,IOB,IO_L03N_2/D6/GCLK13,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,LOCATED,NO,NONE, +N5,LED<4>,IOB,IO_L04N_2/D3/GCLK15,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,LOCATED,NO,NONE, +N6,,DIFFSI,IP_L05N_2/M2/GCLK1,UNUSED,,2,,,,,,,,, +N7,,IOB,IO/M1,UNUSED,,2,,,,,,,,, +N8,,DIFFS,IO_L07N_2/DIN/D0,UNUSED,,2,,,,,,,,, +N9,,DIFFM,IO_L08P_2/A23,UNUSED,,2,,,,,,,,, +N10,,DIFFM,IO_L09P_2/A21,UNUSED,,2,,,,,,,,, +N11,,DIFFM,IO_L10P_2/VS2/A19,UNUSED,,2,,,,,,,,, +N12,,DIFFS,IO_L11N_2/CCLK,UNUSED,,2,,,,,,,,, +N13,,DIFFM,IO_L01P_1/A16,UNUSED,,1,,,,,,,,, +N14,,DIFFS,IO_L01N_1/A15,UNUSED,,1,,,,,,,,, +P1,,DIFFM,IO_L02P_2/DOUT/BUSY,UNUSED,,2,,,,,,,,, +P2,,,VCCINT,,,,,,,,1.2,,,, +P3,,,VCCO_2,,,2,,,,,2.50,,,, +P4,LED<6>,IOB,IO/D5,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,LOCATED,NO,NONE, +P5,,,GND,,,,,,,,,,,, +P6,LED<3>,IOB,IO_L06P_2/D2/GCLK2,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,LOCATED,NO,NONE, +P7,LED<2>,IOB,IO_L06N_2/D1/GCLK3,OUTPUT,LVCMOS25*,2,12,SLOW,NONE**,,,LOCATED,NO,NONE, +P8,,DIFFM,IO_L07P_2/M0,UNUSED,,2,,,,,,,,, +P9,,,VCCAUX,,,,,,,,2.5,,,, +P10,,,GND,,,,,,,,,,,, +P11,,IOB,IO/VREF_2,UNUSED,,2,,,,,,,,, +P12,,DIFFM,IO_L11P_2/VS0/A17,UNUSED,,2,,,,,,,,, +P13,,,DONE,,,,,,,,,,,, +P14,,,GND,,,,,,,,,,,, + +# -----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----, +# +#* Default value. +#** This default Pullup/Pulldown value can be overridden in Bitgen. +#****** Special VCCO requirements may apply. Please consult the device +# family datasheet for specific guideline on VCCO requirements. +# +# +# \ No newline at end of file diff --git a/main_pad.txt b/main_pad.txt new file mode 100644 index 0000000..bd04cab --- /dev/null +++ b/main_pad.txt @@ -0,0 +1,162 @@ +Release 14.1 - par P.15xf (nt) +Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. + +Thu Feb 21 20:21:23 2013 + + +INFO: The IO information is provided in three file formats as part of the Place and Route (PAR) process. These formats are: +1. The _pad.txt file (this file) designed to provide information on IO usage in a human readable ASCII text format viewable through common text editors. +2. The _pad.csv file for use with spreadsheet programs such as MS Excel. This file can also be read by PACE to communicate post PAR IO information. +3. The .pad file designed for parsing by customers. It uses the "|" as a data field separator. + +INPUT FILE: main_map.ncd +OUTPUT FILE: main_pad.txt +PART TYPE: xc3s250e +SPEED GRADE: -5 +PACKAGE: cp132 + +Pinout by Pin Number: + ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +|Pin Number|Signal Name|Pin Usage|Pin Name |Direction|IO Standard|IO Bank Number|Drive (mA)|Slew Rate|Termination|IOB Delay|Voltage |Constraint|IO Register|Signal Integrity| ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +|A1 | | |PROG_B | | | | | | | | | | | | +|A2 | | |TDI | | | | | | | | | | | | +|A3 | |DIFFM |IO_L11P_0 |UNUSED | |0 | | | | | | | | | +|A4 | | |GND | | | | | | | | | | | | +|A5 | | |VCCAUX | | | | | | | |2.5 | | | | +|A6 | | |VCCO_0 | | |0 | | | | |any******| | | | +|A7 | |DIFFM |IO_L07P_0/GCLK10 |UNUSED | |0 | | | | | | | | | +|A8 | | |GND | | | | | | | | | | | | +|A9 | |DIFFS |IO_L05N_0/GCLK7 |UNUSED | |0 | | | | | | | | | +|A10 | |DIFFM |IO_L04P_0/GCLK4 |UNUSED | |0 | | | | | | | | | +|A11 | | |VCCINT | | | | | | | |1.2 | | | | +|A12 | |DIFFS |IO_L02N_0 |UNUSED | |0 | | | | | | | | | +|A13 | |DIFFM |IO_L01P_0 |UNUSED | |0 | | | | | | | | | +|A14 | | |TDO | | | | | | | | | | | | +|B1 | |DIFFS |IO_L01N_3 |UNUSED | |3 | | | | | | | | | +|B2 | |DIFFM |IO_L01P_3 |UNUSED | |3 | | | | | | | | | +|B3 | |DIFFS |IO_L11N_0/HSWAP |UNUSED | |0 | | | | | | | | | +|B4 | |DIFFM |IO_L10P_0 |UNUSED | |0 | | | | | | | | | +|B5 | |DIFFM |IO_L09P_0 |UNUSED | |0 | | | | | | | | | +|B6 | |DIFFM |IO_L08P_0 |UNUSED | |0 | | | | | | | | | +|B7 | |DIFFS |IO_L07N_0/GCLK11 |UNUSED | |0 | | | | | | | | | +|B8 | |DIFFMI |IP_L06P_0/GCLK8 |UNUSED | |0 | | | | | | | | | +|B9 | |DIFFM |IO_L05P_0/GCLK6 |UNUSED | |0 | | | | | | | | | +|B10 | | |VCCO_0 | | |0 | | | | |any******| | | | +|B11 | |DIFFS |IO_L03N_0/VREF_0 |UNUSED | |0 | | | | | | | | | +|B12 | |DIFFM |IO_L02P_0 |UNUSED | |0 | | | | | | | | | +|B13 | | |TCK | | | | | | | | | | | | +|B14 | | |TMS | | | | | | | | | | | | +|C1 | | |GND | | | | | | | | | | | | +|C2 | |DIFFS |IO_L02N_3 |UNUSED | |3 | | | | | | | | | +|C3 | |DIFFM |IO_L02P_3 |UNUSED | |3 | | | | | | | | | +|C4 | |DIFFS |IO_L10N_0 |UNUSED | |0 | | | | | | | | | +|C5 | |DIFFS |IO_L09N_0 |UNUSED | |0 | | | | | | | | | +|C6 | |DIFFS |IO_L08N_0/VREF_0 |UNUSED | |0 | | | | | | | | | +|C7 | | |GND | | | | | | | | | | | | +|C8 | |DIFFSI |IP_L06N_0/GCLK9 |UNUSED | |0 | | | | | | | | | +|C9 | |DIFFS |IO_L04N_0/GCLK5 |UNUSED | |0 | | | | | | | | | +|C10 | | |GND | | | | | | | | | | | | +|C11 | |DIFFM |IO_L03P_0 |UNUSED | |0 | | | | | | | | | +|C12 | |DIFFS |IO_L01N_0 |UNUSED | |0 | | | | | | | | | +|C13 | |DIFFS |IO_L10N_1/LDC2 |UNUSED | |1 | | | | | | | | | +|C14 |RGB<5> |IOB |IO_L10P_1/LDC1 |OUTPUT |LVCMOS33 |1 |8 |FAST |NONE** | | |LOCATED |NO |NONE | +|D1 | |DIFFS |IO_L03N_3 |UNUSED | |3 | | | | | | | | | +|D2 | |DIFFM |IO_L03P_3 |UNUSED | |3 | | | | | | | | | +|D3 | | |VCCINT | | | | | | | |1.2 | | | | +|D12 | |DIFFS |IO_L09N_1/LDC0 |UNUSED | |1 | | | | | | | | | +|D13 |RGB<6> |IOB |IO_L09P_1/HDC |OUTPUT |LVCMOS33 |1 |8 |FAST |NONE** | | |LOCATED |NO |NONE | +|D14 | | |NC | | | | | | | | | | | | +|E1 | | |VCCO_3 | | |3 | | | | |2.50 | | | | +|E2 | |IBUF |IP/VREF_3 |UNUSED | |3 | | | | | | | | | +|E3 | | |GND | | | | | | | | | | | | +|E12 | | |VCCAUX | | | | | | | |2.5 | | | | +|E13 | | |VCCO_1 | | |1 | | | | |3.30 | | | | +|E14 | | |GND | | | | | | | | | | | | +|F1 | |DIFFM |IO_L05P_3/LHCLK2 |UNUSED | |3 | | | | | | | | | +|F2 | |DIFFS |IO_L04N_3/LHCLK1 |UNUSED | |3 | | | | | | | | | +|F3 | |DIFFM |IO_L04P_3/LHCLK0 |UNUSED | |3 | | | | | | | | | +|F12 | |DIFFS |IO/A0 |UNUSED | |1 | | | | | | | | | +|F13 |RGB<7> |IOB |IO_L08N_1/A1 |OUTPUT |LVCMOS33 |1 |8 |FAST |NONE** | | |LOCATED |NO |NONE | +|F14 |RGB<2> |IOB |IO_L08P_1/A2 |OUTPUT |LVCMOS33 |1 |8 |FAST |NONE** | | |LOCATED |NO |NONE | +|G1 |LED<7> |IOB |IO_L05N_3/LHCLK3/IRDY2 |OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | +|G2 | | |GND | | | | | | | | | | | | +|G3 | |DIFFM |IO_L06P_3/LHCLK4/TRDY2 |UNUSED | |3 | | | | | | | | | +|G12 | |IBUF |IP/VREF_1 |UNUSED | |1 | | | | | | | | | +|G13 |RGB<3> |IOB |IO_L07N_1/A3/RHCLK7 |OUTPUT |LVCMOS33 |1 |8 |FAST |NONE** | | |LOCATED |NO |NONE | +|G14 |RGB<4> |IOB |IO_L07P_1/A4/RHCLK6 |OUTPUT |LVCMOS33 |1 |8 |FAST |NONE** | | |LOCATED |NO |NONE | +|H1 | |DIFFS |IO_L06N_3/LHCLK5 |UNUSED | |3 | | | | | | | | | +|H2 | |DIFFM |IO_L07P_3/LHCLK6 |UNUSED | |3 | | | | | | | | | +|H3 | |DIFFS |IO_L07N_3/LHCLK7 |UNUSED | |3 | | | | | | | | | +|H12 | |DIFFS |IO_L06N_1/A5/RHCLK5 |UNUSED | |1 | | | | | | | | | +|H13 |RGB<0> |IOB |IO_L06P_1/A6/RHCLK4/IRDY1|OUTPUT |LVCMOS33 |1 |8 |FAST |NONE** | | |LOCATED |NO |NONE | +|H14 | | |GND | | | | | | | | | | | | +|J1 | | |GND | | | | | | | | | | | | +|J2 | | |VCCO_3 | | |3 | | | | |2.50 | | | | +|J3 | |DIFFS |IO |UNUSED | |3 | | | | | | | | | +|J12 | |DIFFS |IO_L04N_1/A9/RHCLK1 |UNUSED | |1 | | | | | | | | | +|J13 |RGB<1> |IOB |IO_L05P_1/A8/RHCLK2 |OUTPUT |LVCMOS33 |1 |8 |FAST |NONE** | | |LOCATED |NO |NONE | +|J14 |HS |IOB |IO_L05N_1/A7/RHCLK3/TRDY1|OUTPUT |LVCMOS33 |1 |8 |FAST |NONE** | | |LOCATED |NO |NONE | +|K1 | | |VCCAUX | | | | | | | |2.5 | | | | +|K2 | | |NC | | | | | | | | | | | | +|K3 | |IOB |IO/VREF_3 |UNUSED | |3 | | | | | | | | | +|K12 | | |GND | | | | | | | | | | | | +|K13 |VS |IOB |IO/VREF_1 |OUTPUT |LVCMOS33 |1 |8 |FAST |NONE** | | |LOCATED |NO |NONE | +|K14 | |DIFFM |IO_L04P_1/A10/RHCLK0 |UNUSED | |1 | | | | | | | | | +|L1 | |DIFFM |IO_L08P_3 |UNUSED | |3 | | | | | | | | | +|L2 | |DIFFS |IO_L08N_3 |UNUSED | |3 | | | | | | | | | +|L3 | |DIFFM |IO_L09P_3 |UNUSED | |3 | | | | | | | | | +|L12 | | |VCCINT | | | | | | | |1.2 | | | | +|L13 | |DIFFM |IO_L03P_1/A12 |UNUSED | |1 | | | | | | | | | +|L14 | |DIFFS |IO_L03N_1/A11 |UNUSED | |1 | | | | | | | | | +|M1 | |DIFFS |IO_L09N_3 |UNUSED | |3 | | | | | | | | | +|M2 | |DIFFM |IO_L01P_2/CSO_B |UNUSED | |2 | | | | | | | | | +|M3 | | |GND | | | | | | | | | | | | +|M4 | |DIFFM |IO_L03P_2/D7/GCLK12 |UNUSED | |2 | | | | | | | | | +|M5 |LED<0> |IOB |IO_L04P_2/D4/GCLK14 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | +|M6 |CLK |IBUF |IP_L05P_2/RDWR_B/GCLK0 |INPUT |LVCMOS25* |2 | | | |NONE | |LOCATED |NO |NONE | +|M7 | | |GND | | | | | | | | | | | | +|M8 | | |VCCO_2 | | |2 | | | | |2.50 | | | | +|M9 | |DIFFS |IO_L08N_2/A22 |UNUSED | |2 | | | | | | | | | +|M10 | |DIFFS |IO_L09N_2/A20 |UNUSED | |2 | | | | | | | | | +|M11 |LED<1> |IOB |IO_L10N_2/VS1/A18 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | +|M12 | |DIFFM |IO_L02P_1/A14 |UNUSED | |1 | | | | | | | | | +|M13 | |DIFFS |IO_L02N_1/A13 |UNUSED | |1 | | | | | | | | | +|M14 | | |VCCO_1 | | |1 | | | | |3.30 | | | | +|N1 | |DIFFS |IO_L01N_2/INIT_B |UNUSED | |2 | | | | | | | | | +|N2 | |DIFFS |IO_L02N_2/MOSI/CSI_B |UNUSED | |2 | | | | | | | | | +|N3 | |DIFFSI |IP/VREF_2 |UNUSED | |2 | | | | | | | | | +|N4 |LED<5> |IOB |IO_L03N_2/D6/GCLK13 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | +|N5 |LED<4> |IOB |IO_L04N_2/D3/GCLK15 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | +|N6 | |DIFFSI |IP_L05N_2/M2/GCLK1 |UNUSED | |2 | | | | | | | | | +|N7 | |IOB |IO/M1 |UNUSED | |2 | | | | | | | | | +|N8 | |DIFFS |IO_L07N_2/DIN/D0 |UNUSED | |2 | | | | | | | | | +|N9 | |DIFFM |IO_L08P_2/A23 |UNUSED | |2 | | | | | | | | | +|N10 | |DIFFM |IO_L09P_2/A21 |UNUSED | |2 | | | | | | | | | +|N11 | |DIFFM |IO_L10P_2/VS2/A19 |UNUSED | |2 | | | | | | | | | +|N12 | |DIFFS |IO_L11N_2/CCLK |UNUSED | |2 | | | | | | | | | +|N13 | |DIFFM |IO_L01P_1/A16 |UNUSED | |1 | | | | | | | | | +|N14 | |DIFFS |IO_L01N_1/A15 |UNUSED | |1 | | | | | | | | | +|P1 | |DIFFM |IO_L02P_2/DOUT/BUSY |UNUSED | |2 | | | | | | | | | +|P2 | | |VCCINT | | | | | | | |1.2 | | | | +|P3 | | |VCCO_2 | | |2 | | | | |2.50 | | | | +|P4 |LED<6> |IOB |IO/D5 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | +|P5 | | |GND | | | | | | | | | | | | +|P6 |LED<3> |IOB |IO_L06P_2/D2/GCLK2 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | +|P7 |LED<2> |IOB |IO_L06N_2/D1/GCLK3 |OUTPUT |LVCMOS25* |2 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | +|P8 | |DIFFM |IO_L07P_2/M0 |UNUSED | |2 | | | | | | | | | +|P9 | | |VCCAUX | | | | | | | |2.5 | | | | +|P10 | | |GND | | | | | | | | | | | | +|P11 | |IOB |IO/VREF_2 |UNUSED | |2 | | | | | | | | | +|P12 | |DIFFM |IO_L11P_2/VS0/A17 |UNUSED | |2 | | | | | | | | | +|P13 | | |DONE | | | | | | | | | | | | +|P14 | | |GND | | | | | | | | | | | | ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ + +* Default value. +** This default Pullup/Pulldown value can be overridden in Bitgen. +****** Special VCCO requirements may apply. Please consult the device + family datasheet for specific guideline on VCCO requirements. + + diff --git a/main_par.xrpt b/main_par.xrpt new file mode 100644 index 0000000..d2af353 --- /dev/null +++ b/main_par.xrpt @@ -0,0 +1,1119 @@ + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + + + + + + + + + + + +
+
+ +
+ + + + +
+
+ +
+ +
+
+ +
+ + + + + + +
+
+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + + + +
+
+
+ + + +
+ + + + diff --git a/main_summary.html b/main_summary.html new file mode 100644 index 0000000..d0c4a9d --- /dev/null +++ b/main_summary.html @@ -0,0 +1,189 @@ +Xilinx Design Summary + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
main Project Status (02/21/2013 - 20:21:36)
Project File:pong.xiseParser Errors: No Errors
Module Name:mainImplementation State:Programming File Generated
Target Device:xc3s250e-5cp132
  • Errors:
+No Errors
Product Version:ISE 14.1
  • Warnings:
4 Warnings (0 new)
Design Goal:Balanced
  • Routing Results:
+All Signals Completely Routed
Design Strategy:Xilinx Default (unlocked)
  • Timing Constraints:
+All Constraints Met
Environment: + +System Settings +
  • Final Timing Score:
0  (Timing Report)
+ + + + 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Device Utilization Summary [-]
Logic UtilizationUsedAvailableUtilizationNote(s)
Total Number Slice Registers474,8961% 
    Number used as Flip Flops45   
    Number used as Latches2   
Number of 4 input LUTs584,8961% 
Number of occupied Slices522,4482% 
    Number of Slices containing only related logic5252100% 
    Number of Slices containing unrelated logic0520% 
Total Number of 4 input LUTs924,8961% 
    Number used as logic58   
    Number used as a route-thru34   
Number of bonded IOBs199220% 
Number of BUFGMUXs1244% 
Average Fanout of Non-Clock Nets2.67   
+ + + + 
+ + + + + + + + + + + + + + + + + +
Performance Summary [-]
Final Timing Score:0 (Setup: 0, Hold: 0)Pinout Data:Pinout Report
Routing Results: +All Signals Completely RoutedClock Data:Clock Report
Timing Constraints: +All Constraints Met  
+ + + + 
+ + + + + + + + + + +
Detailed Reports [-]
Report NameStatusGeneratedErrorsWarningsInfos
Synthesis ReportCurrentThu Feb 21 20:21:01 201303 Warnings (0 new)5 Infos (0 new)
Translation ReportCurrentThu Feb 21 20:21:06 2013000
Map ReportCurrentThu Feb 21 20:21:11 2013001 Info (0 new)
Place and Route ReportCurrentThu Feb 21 20:21:23 201301 Warning (0 new)2 Infos (0 new)
Power Report     
Post-PAR Static Timing ReportCurrentThu Feb 21 20:21:27 2013006 Infos (0 new)
Bitgen ReportCurrentThu Feb 21 20:21:32 2013000

+ + + + +
Secondary Reports [-]
Report NameStatusGenerated
WebTalk ReportCurrentThu Feb 21 20:21:32 2013
WebTalk Log FileCurrentThu Feb 21 20:21:36 2013
+ + +
Date Generated: 02/21/2013 - 20:21:36
+ \ No newline at end of file diff --git a/main_summary.xml b/main_summary.xml new file mode 100644 index 0000000..7f94188 --- /dev/null +++ b/main_summary.xml @@ -0,0 +1,10 @@ + + + + + + diff --git a/main_usage.xml b/main_usage.xml new file mode 100644 index 0000000..fa23101 --- /dev/null +++ b/main_usage.xml @@ -0,0 +1,452 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/main_vhdl.prj b/main_vhdl.prj new file mode 100644 index 0000000..645d869 --- /dev/null +++ b/main_vhdl.prj @@ -0,0 +1,2 @@ +vhdl work "C:\fpga\pong\vga.vhd" +vhdl work "C:\fpga\pong\main.vhd" diff --git a/main_xst.xrpt b/main_xst.xrpt new file mode 100644 index 0000000..8dc415b --- /dev/null +++ b/main_xst.xrpt @@ -0,0 +1,212 @@ + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+ + + + + + + + + + + + +
+
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + + +
+
+ + + + + + + + + + + +
+
+ + + +
+
+
+
+
+
+
+
+ + + + + +
+
+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+ + + + + + + +
+
+
+
+
+ + + +
+ + + diff --git a/mainpins.ucf b/mainpins.ucf new file mode 100644 index 0000000..e69de29 diff --git a/pins.ucf b/pins.ucf new file mode 100644 index 0000000..9e56910 --- /dev/null +++ b/pins.ucf @@ -0,0 +1,24 @@ +NET "CLK" LOC = "M6"; + +NET "LED<0>" LOC = "M5"; +NET "LED<1>" LOC = "M11"; +NET "LED<2>" LOC = "P7"; +NET "LED<3>" LOC = "P6"; +NET "LED<4>" LOC = "N5"; +NET "LED<5>" LOC = "N4"; +NET "LED<6>" LOC = "P4"; +NET "LED<7>" LOC = "G1"; + +NET "RGB<7>" LOC = "F13" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; +NET "RGB<6>" LOC = "D13" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; +NET "RGB<5>" LOC = "C14" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; + +NET "RGB<4>" LOC = "G14" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; +NET "RGB<3>" LOC = "G13" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; +NET "RGB<2>" LOC = "F14" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; + +NET "RGB<1>" LOC = "J13" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; +NET "RGB<0>" LOC = "H13" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; + +NET "HS" LOC = "J14" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; +NET "VS" LOC = "K13" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST; \ No newline at end of file diff --git a/pong.gise b/pong.gise new file mode 100644 index 0000000..b8604a9 --- /dev/null +++ b/pong.gise @@ -0,0 +1,188 @@ + + + + + + + + + + + + + + + + + + + + 11.1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/pong.xise b/pong.xise new file mode 100644 index 0000000..bbef0ff --- /dev/null +++ b/pong.xise @@ -0,0 +1,349 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
diff --git a/usage_statistics_webtalk.html b/usage_statistics_webtalk.html new file mode 100644 index 0000000..124209f --- /dev/null +++ b/usage_statistics_webtalk.html @@ -0,0 +1,1105 @@ +Device Usage Statistics Report + +

Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
 

 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Software Version and Target Device
Product Version:ISE:14.1 (WebPack) - P.15xfTarget Family:Spartan3E
OS Platform:NTTarget Device:xc3s250e
Project ID (random number)80ba5fe55946451bba1c27089be4c561.7EC662CDC4744D03A066C93D40613B74.58Target Package:cp132
Registration ID__0_0_0Target Speed:-5
Date Generated2013-02-21T20:21:32Tool FlowISE

+ + + + + + + + + + + + + + +
User Environment
OS NameMicrosoft Windows 7 , 64-bitOS Releasemajor release (build 7600)
CPU NameIntel(R) Core(TM) i3 CPU 550 @ 3.20GHzCPU Speed3191 MHz

+ + + + + + + + + + + + +
Device Usage Statistics
Macro StatisticsMiscellaneous StatisticsNet StatisticsSite Usage
+Adders/Subtractors=4 +
    +
  • 10-bit adder=2
  • +
  • 10-bit subtractor=2
  • +
+
+Comparators=12 +
    +
  • 11-bit comparator greatequal=4
  • +
  • 11-bit comparator greater=2
  • +
  • 11-bit comparator less=4
  • +
  • 11-bit comparator lessequal=2
  • +
+
+Counters=2 +
    +
  • 10-bit up counter=2
  • +
+
+Latches=1 +
    +
  • 8-bit latch=1
  • +
+
+Registers=31 +
    +
  • Flip-Flops=31
  • +
+
+
+MiscellaneousStatistics +
    +
  • AGG_BONDED_IO=19
  • +
  • AGG_IO=19
  • +
  • AGG_SLICE=52
  • +
  • NUM_4_INPUT_LUT=92
  • +
  • NUM_BONDED_IBUF=1
  • +
  • NUM_BONDED_IOB=18
  • +
  • NUM_BUFGMUX=1
  • +
  • NUM_CYMUX=45
  • +
  • NUM_LUT_RT=34
  • +
  • NUM_SLICEL=52
  • +
  • NUM_SLICE_FF=45
  • +
  • NUM_SLICE_LATCH=2
  • +
  • NUM_XOR=50
  • +
+
+
+NetStatistics +
    +
  • NumNets_Active=134
  • +
  • NumNets_Gnd=1
  • +
  • NumNets_Vcc=1
  • +
  • NumNodesOfType_Active_CLKPIN=27
  • +
  • NumNodesOfType_Active_CNTRLPIN=29
  • +
  • NumNodesOfType_Active_DOUBLE=151
  • +
  • NumNodesOfType_Active_DUMMY=213
  • +
  • NumNodesOfType_Active_DUMMYESC=1
  • +
  • NumNodesOfType_Active_GLOBAL=14
  • +
  • NumNodesOfType_Active_HFULLHEX=1
  • +
  • NumNodesOfType_Active_HUNIHEX=2
  • +
  • NumNodesOfType_Active_INPUT=246
  • +
  • NumNodesOfType_Active_IOBOUTPUT=1
  • +
  • NumNodesOfType_Active_OMUX=111
  • +
  • NumNodesOfType_Active_OUTPUT=114
  • +
  • NumNodesOfType_Active_PREBXBY=52
  • +
  • NumNodesOfType_Active_VFULLHEX=7
  • +
  • NumNodesOfType_Active_VLONG=1
  • +
  • NumNodesOfType_Active_VUNIHEX=6
  • +
  • NumNodesOfType_Gnd_DOUBLE=10
  • +
  • NumNodesOfType_Gnd_INPUT=12
  • +
  • NumNodesOfType_Gnd_OMUX=6
  • +
  • NumNodesOfType_Gnd_OUTPUT=8
  • +
  • NumNodesOfType_Gnd_PREBXBY=5
  • +
+
+SiteStatistics +
    +
  • IBUF-DIFFMI=1
  • +
  • IOB-DIFFM=8
  • +
  • IOB-DIFFS=9
  • +
  • SLICEL-SLICEM=21
  • +
+
+
+SiteSummary +
    +
  • BUFGMUX=1
  • +
  • BUFGMUX_GCLKMUX=1
  • +
  • BUFGMUX_GCLK_BUFFER=1
  • +
  • IBUF=1
  • +
  • IBUF_INBUF=1
  • +
  • IBUF_PAD=1
  • +
  • IOB=18
  • +
  • IOB_OUTBUF=18
  • +
  • IOB_PAD=18
  • +
  • SLICEL=52
  • +
  • SLICEL_C1VDD=7
  • +
  • SLICEL_C2VDD=2
  • +
  • SLICEL_CYMUXF=25
  • +
  • SLICEL_CYMUXG=20
  • +
  • SLICEL_F=48
  • +
  • SLICEL_F5MUX=3
  • +
  • SLICEL_FFX=22
  • +
  • SLICEL_FFY=25
  • +
  • SLICEL_G=44
  • +
  • SLICEL_GNDF=18
  • +
  • SLICEL_GNDG=18
  • +
  • SLICEL_XORF=25
  • +
  • SLICEL_XORG=25
  • +
+
+

+ + + + + + + + +
Configuration Data
+BUFGMUX +
    +
  • S=[S_INV:1] [S:0]
  • +
+
+BUFGMUX_GCLKMUX +
    +
  • DISABLE_ATTR=[LOW:1]
  • +
  • S=[S_INV:1] [S:0]
  • +
+
+IBUF_PAD +
    +
  • IOATTRBOX=[LVCMOS25:1]
  • +
+
+IOB +
    +
  • O1=[O1_INV:0] [O1:18]
  • +
+
+IOB_OUTBUF +
    +
  • IN=[IN_INV:0] [IN:18]
  • +
+
+IOB_PAD +
    +
  • DRIVEATTRBOX=[8:10] [12:8]
  • +
  • IOATTRBOX=[LVCMOS25:8] [LVCMOS33:10]
  • +
  • SLEW=[SLOW:8] [FAST:10]
  • +
+
+ +SLICEL +
    +
  • BX=[BX_INV:0] [BX:8]
  • +
  • BY=[BY:3] [BY_INV:0]
  • +
  • CE=[CE:15] [CE_INV:0]
  • +
  • CIN=[CIN_INV:0] [CIN:20]
  • +
  • CLK=[CLK:25] [CLK_INV:2]
  • +
  • SR=[SR:14] [SR_INV:0]
  • +
+
+SLICEL_CYMUXF +
    +
  • 0=[0:25] [0_INV:0]
  • +
  • 1=[1_INV:0] [1:25]
  • +
+
+SLICEL_CYMUXG +
    +
  • 0=[0:20] [0_INV:0]
  • +
+
+ +SLICEL_F5MUX +
    +
  • S0=[S0:3] [S0_INV:0]
  • +
+
+SLICEL_FFX +
    +
  • CE=[CE:15] [CE_INV:0]
  • +
  • CK=[CK:21] [CK_INV:1]
  • +
  • D=[D:22] [D_INV:0]
  • +
  • FFX_INIT_ATTR=[INIT0:22]
  • +
  • FFX_SR_ATTR=[SRLOW:22]
  • +
  • LATCH_OR_FF=[FF:21] [LATCH:1]
  • +
  • SR=[SR:10] [SR_INV:0]
  • +
  • SYNC_ATTR=[ASYNC:12] [SYNC:10]
  • +
+
+ +SLICEL_FFY +
    +
  • CE=[CE:15] [CE_INV:0]
  • +
  • CK=[CK:24] [CK_INV:1]
  • +
  • D=[D:25] [D_INV:0]
  • +
  • FFY_INIT_ATTR=[INIT0:24] [INIT1:1]
  • +
  • FFY_SR_ATTR=[SRLOW:24] [SRHIGH:1]
  • +
  • LATCH_OR_FF=[FF:24] [LATCH:1]
  • +
  • SR=[SR:14] [SR_INV:0]
  • +
  • SYNC_ATTR=[ASYNC:11] [SYNC:14]
  • +
+
+SLICEL_XORF +
    +
  • 1=[1_INV:0] [1:25]
  • +
+
+ +

+ + + + + + + +
Pin Data
+BUFGMUX +
    +
  • I0=1
  • +
  • O=1
  • +
  • S=1
  • +
+
+BUFGMUX_GCLKMUX +
    +
  • I0=1
  • +
  • OUT=1
  • +
  • S=1
  • +
+
+BUFGMUX_GCLK_BUFFER +
    +
  • IN=1
  • +
  • OUT=1
  • +
+
+IBUF +
    +
  • I=1
  • +
  • PAD=1
  • +
+
+IBUF_INBUF +
    +
  • IN=1
  • +
  • OUT=1
  • +
+
+IBUF_PAD +
    +
  • PAD=1
  • +
+
+IOB +
    +
  • O1=18
  • +
  • PAD=18
  • +
+
+IOB_OUTBUF +
    +
  • IN=18
  • +
  • OUT=18
  • +
+
+IOB_PAD +
    +
  • PAD=18
  • +
+
+SLICEL +
    +
  • BX=8
  • +
  • BY=3
  • +
  • CE=15
  • +
  • CIN=20
  • +
  • CLK=27
  • +
  • COUT=20
  • +
  • F1=46
  • +
  • F2=23
  • +
  • F3=23
  • +
  • F4=19
  • +
  • G1=44
  • +
  • G2=22
  • +
  • G3=20
  • +
  • G4=15
  • +
  • SR=14
  • +
  • X=26
  • +
  • XQ=22
  • +
  • Y=20
  • +
  • YQ=25
  • +
+
+ +SLICEL_C1VDD +
    +
  • 1=7
  • +
+
+SLICEL_C2VDD +
    +
  • 1=2
  • +
+
+SLICEL_CYMUXF +
    +
  • 0=25
  • +
  • 1=25
  • +
  • OUT=25
  • +
  • S0=25
  • +
+
+SLICEL_CYMUXG +
    +
  • 0=20
  • +
  • 1=20
  • +
  • OUT=20
  • +
  • S0=20
  • +
+
+SLICEL_F +
    +
  • A1=46
  • +
  • A2=23
  • +
  • A3=23
  • +
  • A4=19
  • +
  • D=48
  • +
+
+SLICEL_F5MUX +
    +
  • F=3
  • +
  • G=3
  • +
  • OUT=3
  • +
  • S0=3
  • +
+
+ +SLICEL_FFX +
    +
  • CE=15
  • +
  • CK=22
  • +
  • D=22
  • +
  • Q=22
  • +
  • SR=10
  • +
+
+SLICEL_FFY +
    +
  • CE=15
  • +
  • CK=25
  • +
  • D=25
  • +
  • Q=25
  • +
  • SR=14
  • +
+
+SLICEL_G +
    +
  • A1=44
  • +
  • A2=22
  • +
  • A3=20
  • +
  • A4=15
  • +
  • D=44
  • +
+
+SLICEL_GNDF +
    +
  • 0=18
  • +
+
+SLICEL_GNDG +
    +
  • 0=18
  • +
+
+SLICEL_XORF +
    +
  • 0=25
  • +
  • 1=25
  • +
  • O=25
  • +
+
+ +SLICEL_XORG +
    +
  • 0=25
  • +
  • 1=25
  • +
  • O=25
  • +
+
+

+ + +
Tool Usage
Command Line History
    +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Software Quality
Run Statistics
Program NameRuns StartedRuns FinishedErrorsFatal ErrorsInternal ErrorsExceptionsCore Dumps
bitgen606000000
map616000000
ngdbuild626200000
par606000000
trce606000000
xst878700000
+ + 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Project Statistics
PROPEXT_xilxSynthMaxFanout_virtex2=100000PROP_Enable_Message_Filtering=false
PROP_FitterReportFormat=HTMLPROP_LastAppliedGoal=Balanced
PROP_LastAppliedStrategy=Xilinx Default (unlocked)PROP_ManualCompileOrderImp=false
PROP_PropSpecInProjFile=Store all valuesPROP_Simulator=ISim (VHDL/Verilog)
PROP_SynthTopFile=changedPROP_Top_Level_Module_Type=HDL
PROP_UseSmartGuide=falsePROP_UserConstraintEditorPreference=Text Editor
PROP_intProjectCreationTimestamp=2013-02-15T19:54:09PROP_intWbtProjectID=7EC662CDC4744D03A066C93D40613B74
PROP_intWbtProjectIteration=58PROP_intWorkingDirLocWRTProjDir=Same
PROP_intWorkingDirUsed=NoPROP_lockPinsUcfFile=changed
PROP_xilxBitgStart_IntDone=truePROP_AutoTop=false
PROP_DevFamily=Spartan3EPROP_DevDevice=xc3s250e
PROP_DevFamilyPMName=spartan3ePROP_DevPackage=cp132
PROP_Synthesis_Tool=XST (VHDL/Verilog)PROP_DevSpeed=-5
PROP_PreferredLanguage=VerilogFILE_UCF=1
FILE_VHDL=2

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Unisim Statistics
NGDBUILD_PRE_UNISIM_SUMMARY
NGDBUILD_NUM_BUFGP=1NGDBUILD_NUM_FD=1NGDBUILD_NUM_FDE=20NGDBUILD_NUM_FDR=13
NGDBUILD_NUM_FDRE=10NGDBUILD_NUM_FDS=1NGDBUILD_NUM_GND=1NGDBUILD_NUM_INV=11
NGDBUILD_NUM_LD=2NGDBUILD_NUM_LUT1=33NGDBUILD_NUM_LUT2=1NGDBUILD_NUM_LUT2_L=1
NGDBUILD_NUM_LUT3=6NGDBUILD_NUM_LUT3_L=3NGDBUILD_NUM_LUT4=29NGDBUILD_NUM_LUT4_D=1
NGDBUILD_NUM_LUT4_L=4NGDBUILD_NUM_MUXCY=45NGDBUILD_NUM_MUXF5=3NGDBUILD_NUM_OBUF=18
NGDBUILD_NUM_VCC=1NGDBUILD_NUM_XORCY=50
NGDBUILD_POST_UNISIM_SUMMARY
NGDBUILD_NUM_BUFG=1NGDBUILD_NUM_FD=1NGDBUILD_NUM_FDE=20NGDBUILD_NUM_FDR=13
NGDBUILD_NUM_FDRE=10NGDBUILD_NUM_FDS=1NGDBUILD_NUM_GND=1NGDBUILD_NUM_IBUFG=1
NGDBUILD_NUM_INV=11NGDBUILD_NUM_LD=2NGDBUILD_NUM_LUT1=33NGDBUILD_NUM_LUT2=1
NGDBUILD_NUM_LUT2_L=1NGDBUILD_NUM_LUT3=6NGDBUILD_NUM_LUT3_L=3NGDBUILD_NUM_LUT4=29
NGDBUILD_NUM_LUT4_D=1NGDBUILD_NUM_LUT4_L=4NGDBUILD_NUM_MUXCY=45NGDBUILD_NUM_MUXF5=3
NGDBUILD_NUM_OBUF=18NGDBUILD_NUM_VCC=1NGDBUILD_NUM_XORCY=50

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
XST Command Line Options
XST_OPTION_SUMMARY
-ifn=<fname>.prj-ifmt=mixed-ofn=<design_top>-ofmt=NGC
-p=xc3s250e-5-cp132-top=<design_top>-opt_mode=Speed-opt_level=1
-iuc=NO-keep_hierarchy=No-netlist_hierarchy=As_Optimized-rtlview=Yes
-glob_opt=AllClockNets-read_cores=YES-write_timing_constraints=NO-cross_clock_analysis=NO
-bus_delimiter=<>-slice_utilization_ratio=100-bram_utilization_ratio=100-verilog2001=YES
-fsm_extract=YES-fsm_encoding=Auto-safe_implementation=No-fsm_style=LUT
-ram_extract=Yes-ram_style=Auto-rom_extract=Yes-shreg_extract=YES
-rom_style=Auto-auto_bram_packing=NO-resource_sharing=YES-async_to_sync=NO
-mult_style=Auto-iobuf=YES-max_fanout=100000-bufg=24
-register_duplication=YES-register_balancing=No-optimize_primitives=NO-use_clock_enable=Yes
-use_sync_set=Yes-use_sync_reset=Yes-iob=Auto-equivalent_register_removal=YES
-slice_utilization_ratio_maxmargin=5

diff --git a/vga.vhd b/vga.vhd new file mode 100644 index 0000000..c9c01fb --- /dev/null +++ b/vga.vhd @@ -0,0 +1,69 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + +entity vga is + port ( + CLK : in std_logic; + + LED : out std_logic_vector(7 downto 0); + + IRGB : in std_logic_vector(7 downto 0); + RGB : out std_logic_vector(7 downto 0); + + W : out std_logic; + X : out std_logic_vector(9 downto 0); + Y : out std_logic_vector(9 downto 0); + + HS : out std_logic; + VS : out std_logic + ); +end vga; + +architecture Behavioral of vga is + signal horiz : std_logic_vector(9 downto 0); + signal vert : std_logic_vector(9 downto 0); +begin + +process (CLK) begin + if CLK'event and CLK = '1' then + -- 144 and 784 + if (horiz >= 146) and (horiz < 788) + -- 39 and 519 + and (vert >= 32) and (vert < 519) then + W <= '1'; + RGB <= IRGB; + X <= horiz - 144 + 1; + Y <= vert - 39 + 1; + else + W <= '0'; + RGB <= "11100011"; + end if; + + if (horiz > 0) and (horiz < 97) then + HS <= '0'; + else + HS <= '1'; + end if; + + if (vert > 0) and (vert < 3) then + VS <= '0'; + else + VS <= '1'; + end if; + + horiz <= horiz + 1; + if (horiz = 800) then + vert <= vert + 1; + horiz <= (others => '0'); + end if; + + if (vert = 521) then + vert <= (others => '0'); + end if; + end if; +end process; + +end Behavioral; + diff --git a/vga_summary.html b/vga_summary.html new file mode 100644 index 0000000..3267f60 --- /dev/null +++ b/vga_summary.html @@ -0,0 +1,82 @@ +Xilinx Design Summary + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
main Project Status (02/16/2013 - 01:50:51)
Project File:pong.xiseParser Errors: No Errors
Module Name:vgaImplementation State:Programming File Not Generated
Target Device:xc3s250e-5cp132
  • Errors:
 
Product Version:ISE 14.1
  • Warnings:
 
Design Goal:Balanced
  • Routing Results:
Design Strategy:Xilinx Default (unlocked)
  • Timing Constraints:
 
Environment: 
  • Final Timing Score:
  
+ + + + + + + + + + + + 
+ + + + + + + + + + + +
Detailed Reports [-]
Report NameStatusGeneratedErrorsWarningsInfos
Synthesis Report     
Translation Report     
Map Report     
Place and Route Report     
CPLD Fitter Report (Text)     
Power Report     
Post-PAR Static Timing Report     
Bitgen Report     

+ + + + +
Secondary Reports [-]
Report NameStatusGenerated
WebTalk ReportCurrentSat Feb 16 01:50:46 2013
WebTalk Log FileCurrentSat Feb 16 01:50:50 2013
+ + +
Date Generated: 02/16/2013 - 01:50:51
+ \ No newline at end of file diff --git a/vgapins.ucf b/vgapins.ucf new file mode 100644 index 0000000..e69de29 diff --git a/webtalk_pn.xml b/webtalk_pn.xml new file mode 100644 index 0000000..a0c1d6c --- /dev/null +++ b/webtalk_pn.xml @@ -0,0 +1,45 @@ + + + + +
+ + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
diff --git a/xlnx_auto_0_xdb/cst.xbcd b/xlnx_auto_0_xdb/cst.xbcd new file mode 100644 index 0000000..fa02ec0 Binary files /dev/null and b/xlnx_auto_0_xdb/cst.xbcd differ diff --git a/xst/work/hdllib.ref b/xst/work/hdllib.ref new file mode 100644 index 0000000..523b2ca --- /dev/null +++ b/xst/work/hdllib.ref @@ -0,0 +1,4 @@ +AR vga behavioral C:/fpga/pong/vga.vhd sub00/vhpl03 1361499657 +AR main behavioral C:/fpga/pong/main.vhd sub00/vhpl01 1361499659 +EN main NULL C:/fpga/pong/main.vhd sub00/vhpl00 1361499658 +EN vga NULL C:/fpga/pong/vga.vhd sub00/vhpl02 1361499656 diff --git a/xst/work/hdpdeps.ref b/xst/work/hdpdeps.ref new file mode 100644 index 0000000..a9d28f7 --- /dev/null +++ b/xst/work/hdpdeps.ref @@ -0,0 +1,11 @@ +V3 7 +FL C:/fpga/pong/main.vhd 2013/02/21.18:24:26 P.15xf +EN work/main 1361499658 FL C:/fpga/pong/main.vhd PB ieee/std_logic_1164 1335251622 \ + PB ieee/std_logic_arith 1335251623 PB ieee/STD_LOGIC_UNSIGNED 1335251625 +AR work/main/Behavioral 1361499659 \ + FL C:/fpga/pong/main.vhd EN work/main 1361499658 CP vga +FL C:/fpga/pong/vga.vhd 2013/02/21.20:20:49 P.15xf +EN work/vga 1361499656 FL C:/fpga/pong/vga.vhd PB ieee/std_logic_1164 1335251622 \ + PB ieee/std_logic_arith 1335251623 PB ieee/STD_LOGIC_UNSIGNED 1335251625 +AR work/vga/Behavioral 1361499657 \ + FL C:/fpga/pong/vga.vhd EN work/vga 1361499656 diff --git a/xst/work/sub00/vhpl00.vho b/xst/work/sub00/vhpl00.vho new file mode 100644 index 0000000..13844a5 Binary files /dev/null and b/xst/work/sub00/vhpl00.vho differ diff --git a/xst/work/sub00/vhpl01.vho b/xst/work/sub00/vhpl01.vho new file mode 100644 index 0000000..6b53f49 Binary files /dev/null and b/xst/work/sub00/vhpl01.vho differ diff --git a/xst/work/sub00/vhpl02.vho b/xst/work/sub00/vhpl02.vho new file mode 100644 index 0000000..fc5e2ec Binary files /dev/null and b/xst/work/sub00/vhpl02.vho differ diff --git a/xst/work/sub00/vhpl03.vho b/xst/work/sub00/vhpl03.vho new file mode 100644 index 0000000..85903e6 Binary files /dev/null and b/xst/work/sub00/vhpl03.vho differ