From f0029486800d42834499b4c6ea6791ba5a787f39 Mon Sep 17 00:00:00 2001 From: Alec Obradovich Date: Fri, 22 Feb 2013 16:00:03 -0600 Subject: [PATCH] actually wrote pong --- PS2Driver.vhd | 21 + _ngo/netlist.lst | 2 +- _xmsgs/par.xmsgs | 4 - _xmsgs/pn_parser.xmsgs | 2 +- _xmsgs/xst.xmsgs | 185 ++++++- iseconfig/main.xreport | 4 +- main.bgn | 2 +- main.bit | Bin 169305 -> 169305 bytes main.bld | 2 +- main.cmd_log | 484 +++++++++++++++++ main.drc | 2 +- main.ncd | 2 +- main.ngc | 2 +- main.ngd | 2 +- main.ngr | 2 +- main.pad | 4 +- main.par | 94 ++-- main.pcf | 17 +- main.prj | 1 + main.ptwx | 2 +- main.syr | 471 +++++++++++++---- main.twr | 27 +- main.twx | 4 +- main.unroutes | 2 +- main.vhd | 126 ++++- main_guide.ncd | 2 +- main_map.map | 34 +- main_map.mrp | 39 +- main_map.ncd | 2 +- main_map.ngm | 2 +- main_map.xrpt | 51 +- main_ngdbuild.xrpt | 77 +-- main_pad.csv | 4 +- main_pad.txt | 4 +- main_par.xrpt | 45 +- main_summary.html | 56 +- main_summary.xml | 2 +- main_usage.xml | 696 ++++++++++++------------ main_vhdl.prj | 1 + main_xst.xrpt | 89 ++-- pins.ucf | 2 + pong.gise | 15 +- pong.xise | 10 +- ps2.vhd | 21 + speaker.vhd | 43 ++ usage_statistics_webtalk.html | 959 ++++++++++++++++++++++++++-------- vga.vhd | 24 +- webtalk_pn.xml | 8 +- xlnx_auto_0_xdb/cst.xbcd | Bin 2098 -> 2194 bytes xst/work/hdllib.ref | 10 +- xst/work/hdpdeps.ref | 23 +- xst/work/sub00/vhpl00.vho | Bin 1041 -> 1105 bytes xst/work/sub00/vhpl01.vho | Bin 3327 -> 14634 bytes xst/work/sub00/vhpl02.vho | Bin 1536 -> 1454 bytes xst/work/sub00/vhpl03.vho | Bin 4016 -> 4248 bytes xst/work/sub00/vhpl04.vho | Bin 0 -> 913 bytes xst/work/sub00/vhpl05.vho | Bin 0 -> 1864 bytes 57 files changed, 2676 insertions(+), 1007 deletions(-) create mode 100644 PS2Driver.vhd create mode 100644 ps2.vhd create mode 100644 speaker.vhd create mode 100644 xst/work/sub00/vhpl04.vho create mode 100644 xst/work/sub00/vhpl05.vho diff --git a/PS2Driver.vhd b/PS2Driver.vhd new file mode 100644 index 0000000..efb096a --- /dev/null +++ b/PS2Driver.vhd @@ -0,0 +1,21 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity PS2Driver is + port ( + CLK: in std_logic; + CLR: in std_logic; + + PS2C: in std_logic; + PS2D: in std_logic; + + KEY: out std_logic_vector(15 downto 0); + ); +end PS2Driver; + +architecture Behavioral of PS2Driver is + +begin + +end Behavioral; + diff --git a/_ngo/netlist.lst b/_ngo/netlist.lst index e9066cf..34f74a0 100644 --- a/_ngo/netlist.lst +++ b/_ngo/netlist.lst @@ -1,2 +1,2 @@ -C:\fpga\pong\main.ngc 1361499661 +C:\fpga\pong\main.ngc 1361569322 OK diff --git a/_xmsgs/par.xmsgs b/_xmsgs/par.xmsgs index e1a4f3b..1de6c09 100644 --- a/_xmsgs/par.xmsgs +++ b/_xmsgs/par.xmsgs @@ -8,10 +8,6 @@ No user timing constraints were detected or you have set the option to ignore timing constraints ("par -x"). Place and Route will run in "Performance Evaluation Mode" to automatically improve the performance of all internal clocks in this design. Because there are not defined timing requirements, a timing score will not be reported in the PAR report in this mode. The PAR timing summary will list the performance achieved for each clock. Note: For the fastest runtime, set the effort level to "std". For best performance, set the effort level to "high". -CLK Net:VGADriver/W may have excessive skew because - 2 CLK pins and 0 NON_CLK pins failed to route using a CLK template. - - N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. diff --git a/_xmsgs/pn_parser.xmsgs b/_xmsgs/pn_parser.xmsgs index e68a4d4..7570373 100644 --- a/_xmsgs/pn_parser.xmsgs +++ b/_xmsgs/pn_parser.xmsgs @@ -8,7 +8,7 @@ -Parsing VHDL file "C:/fpga/pong/vga.vhd" into library work +Parsing VHDL file "C:/fpga/pong/main.vhd" into library work diff --git a/_xmsgs/xst.xmsgs b/_xmsgs/xst.xmsgs index fc8925d..c65ef38 100644 --- a/_xmsgs/xst.xmsgs +++ b/_xmsgs/xst.xmsgs @@ -5,26 +5,197 @@ behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> -"C:/fpga/pong/main.vhd" line 57: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are: -<w>, <x>, <y> +"C:/fpga/pong/main.vhd" line 85: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are: +<w>, <y>, <x> -Output <LED> is never assigned. Tied to value 00000000. +Signal <ph> is used but never assigned. This sourceless signal will be automatically connected to value 00100000. + + +Signal <cw> is used but never assigned. This sourceless signal will be automatically connected to value 00001010. Found 8-bit latch for signal <inrgb>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. -The FF/Latch <7> in Unit <LPM_LATCH_1> is equivalent to the following 4 FFs/Latches, which will be removed : <6> <5> <1> <0> +FF/Latch <hper_24> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. -The FF/Latch <4> in Unit <LPM_LATCH_1> is equivalent to the following 2 FFs/Latches, which will be removed : <3> <2> +FF/Latch <hper_23> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. -The FF/Latch <VGADriver/RGB_4> in Unit <main> is equivalent to the following 2 FFs/Latches, which will be removed : <VGADriver/RGB_3> <VGADriver/RGB_2> +FF/Latch <hper_22> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. -The FF/Latch <VGADriver/RGB_7> in Unit <main> is equivalent to the following 4 FFs/Latches, which will be removed : <VGADriver/RGB_6> <VGADriver/RGB_5> <VGADriver/RGB_1> <VGADriver/RGB_0> +FF/Latch <hper_21> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_20> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_19> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_18> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_17> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_16> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_15> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_14> has a constant value of 1 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_13> has a constant value of 1 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_10> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_9> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_8> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_7> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_6> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_5> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_4> has a constant value of 1 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_3> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_2> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_1> has a constant value of 1 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_0> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_24> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_23> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_22> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_21> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_20> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_19> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_18> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_17> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_16> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_15> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_14> has a constant value of 1 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_13> has a constant value of 1 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_10> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_9> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_8> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_7> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_6> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_5> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_4> has a constant value of 1 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_3> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_2> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_1> has a constant value of 1 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <hper_0> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +The FF/Latch <hper_11> in Unit <main> is equivalent to the following FF/Latch, which will be removed : <hper_12> + + +The FF/Latch <7> in Unit <LPM_LATCH_1> is equivalent to the following 7 FFs/Latches, which will be removed : <6> <5> <4> <3> <2> <1> <0> + + +FF/Latch <cdy_0> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <cdy_1> has a constant value of 1 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <cdx_0> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <cdx_1> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <cdx_2> has a constant value of 1 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <cdx_1> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <cdx_2> has a constant value of 1 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <cdx_1> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <cdx_2> has a constant value of 1 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <cdx_1> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +FF/Latch <cdx_2> has a constant value of 1 in block <main>. This FF/Latch will be trimmed during the optimization process. + + +The FF/Latch <VGADriver/RGB_7> in Unit <main> is equivalent to the following 7 FFs/Latches, which will be removed : <VGADriver/RGB_6> <VGADriver/RGB_5> <VGADriver/RGB_4> <VGADriver/RGB_3> <VGADriver/RGB_2> <VGADriver/RGB_1> <VGADriver/RGB_0> HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems. diff --git a/iseconfig/main.xreport b/iseconfig/main.xreport index c325d21..57f173e 100644 --- a/iseconfig/main.xreport +++ b/iseconfig/main.xreport @@ -1,9 +1,9 @@
- 2013-02-18T14:32:38 + 2013-02-21T22:39:36 main - Unknown + 2013-02-21T20:50:16 C:/fpga/pong/iseconfig/main.xreport C:/fpga/pong\ 2013-02-15T20:59:38 diff --git a/main.bgn b/main.bgn index 9ceceb8..47d9ebe 100644 --- a/main.bgn +++ b/main.bgn @@ -5,7 +5,7 @@ C:\Xilinx\14.1\ISE_DS\ISE\. "main" is an NCD, version 3.2, device xc3s250e, package cp132, speed -5 Opened constraints file main.pcf. -Thu Feb 21 20:21:30 2013 +Fri Feb 22 15:42:37 2013 C:\Xilinx\14.1\ISE_DS\ISE\bin\nt\unwrapped\bitgen.exe -intstyle ise -w -g DebugBitstream:No -g Binary:no -g CRC:Enable -g ConfigRate:1 -g ProgPin:PullUp -g DonePin:PullUp -g TckPin:PullUp -g TdiPin:PullUp -g TdoPin:PullUp -g TmsPin:PullUp -g UnusedPin:PullDown -g UserID:0xFFFFFFFF -g DCMShutdown:Disable -g StartUpClk:CClk -g DONE_cycle:4 -g GTS_cycle:5 -g GWE_cycle:6 -g LCK_cycle:NoWait -g Security:None -g DonePipe:Yes -g DriveDone:No main.ncd diff --git a/main.bit b/main.bit index 3e991a8f6e86bd81ccaeb93f8f5d5c4f967c34ab..2ceb4ad2c51a2afb83ea7e47a280c99dd8c688e0 100644 GIT binary patch literal 169305 zcmeHw4{ROBdFMC#mgJItBG(e_=witj$x=WYPO=hJLrLWGNM=$+=Ozpi_K$Gayd;j` z9CE$aG=~U5QH}mIQG+;T>)4GBalxcD>JSA&1i@Va_i*b-Im-y|Vq9>|0YL#K?xEM> z;IL5w1m~RI{l1ym_n-eBm*jioeB{nI^UeR?o0;AH_S;z+99gt~MB+nq&%gQ5$A0TA zzx9#F-!b(&AN|C;Cmv4DzsnJQn2O`SbM%*zkA9?lWc(<7gzh++92tLWa`df7kJ95b zc;uJfe(dPmk0ta`3ZAA4X!hFFxrDSJqJcz|n4eCB6agLiUx`SCcyZ>bgi@B1OE;L1 ztPhKX6iw2f|94K)RisR7;;w2_7d0l}wkXnF)FjuG2fD9G-O-|`z8QU!CM1daRlR(3 z{e7tJ^yXH%_S&|14G7oX;tkL7fZp3$hu9jRBHh}Qu9cW`-xjp4moVA_czl%}0gr%3 zz$4%h@CfWg1On9?z22$|$lgH5w{o=z46in!Pv;R>1p>QPk;W(Kas*1QeHria=KAJ& z1Uv#B0gr%3U~LE-75&ftMD#zowp_iFnxD?Ex3%Nrk34dra$()&thXILzek|k5h$#? zfqLB~bbA5o@1gAWE^c-EV3cF*a)`=X-IQ*wKc*6z7szgIDc^$MnKT$Q4#F_h6tX`IQ-jIXWPkdRjG{6p8%abd+r?bbLZGM zHQPy9K95JhBd{U@1-`D9I10GLj@k88L%`mR1b8!$eM*}c>l&|jG6ahBgzd}hq9es~BezThW15~tUvnuoTcmzBG9)V>Mu($WiCfV_ky`uWt@jsLYz$4%h*v1I( z1J!oaBlp?unx4B%FHxd@WWNM3UhEO@2y7VwqW|enU~dB)-cELj9|1cf;IHzFPvKZ1 zi5GhWJOVi&5GYbP6tk_15SsM1?qCj`3(Y-Aky3&Q%~JO*In>k3MI?n8)BFXzZtZX3 zmj5Na5^_0wQWIHX!^Z{V^-ePaPSdZ6M$MZJ&G9=p%yX+{e%UoUTe&m7gHdYJb&E>k zVlv();m#1TZEvsG_ip_!qPD&Xz6BnE9z)=<`GtlSS1K1SGzfeE2sEFHn!_HXDgq+7 z3wspocl#A&yk&Fz-J<_4=xF!5qpGj{#x~!VmT{_7PMnyUwfh#FJ6GdX44r4>vrkXy z3(t*QyEZ#ZmBq!WDR9u#)TchR(fiVR8{Xz$!-sngfz$kH)!jrh9k%=m=le>Bnb(vp zT~q2UNi3JCb-B1@vaKzu<(7JF**i&Xj2!maoPF3*R>B*dvf)Uzm_Q8Ln4g0k!%?=u zs>|}hW??n9S3RMswLHdz8-Rj3G!H$P$B1k&3Kg&59dwp1O+jhtxE_tsXg4}-`>lwS zI}A>Ut8(@uB(0JkI~pvI8FdhGgrQNm%OmI#_7oG^F4oGlIuZSmfbTdQ=1<^YUZWJM zkw{}~CDVFTSvjMU;t2VQeRTUhRl1v|c?$>9> zNFy3(DN!xWMfV!hO$}BJ;c6_#iJ{2Cz~jbIq%x0@#k4nyY-~i}SG0=;vtm^f^uEuW zvI5%=bkFp#N#}^MQl-$1q7Y<+AqJB>?Gg3W4YO*N9`Rr@)YBwCLR9z*u=hoP<<@de zQojr(b$OafsEc85A{zx|w}h9SIOC0}dN-s@)2)kj#)Q~$TZy=FR9%%^yl&zyxJ{W{ zreC2T!60RPnWWn}%1kP|?q=&Ry6aYPgAZfmj^=b(cpCszsa(A}IeC+&mUtlDPG7S~ zAD=f}7gMt%Umu@mof9AYcjCnL>t{im2aVi>suI+Z9j(=gL+ut>PR|71cYgGR!=K*& zg820Qcksdf!=K(fJ5qNZw(Z$GR~%Aw&*3vqzvI9e^!?1UFZ7*3-_MwSLr?qkPpnRs zzZbS2ht=iUy=i%0T5~v^?=C`m_@8xQ*8g&Ri~hIc-faLzm5QAvS(_#%o~Mb4RA*u4 zJinfK=GwW&zo{vf&(1C`&VwvxP3_1C%PW&#v2<^UwIGsvD9kYOqm*9lxe{0GD&5^O^*07_76q8K(7t|OUs**ZL1*f zX)ui*U2>O@mqvxTbk{wO_INaKN552gbPAI8OXZC_et za`m<&YkkhHrZq06Q{2I8kp?6~+~lX#n-ferV=PF_!H7zC@ zVG`nNeVDaMEwgoxOcShPGaM2 z{6{3|A_kt)L^|726Ul&ES5r17vPPZ{#ii%v8~8`Zn9!M+CLlOo9-tfjm~V+4I{*el z;IsRHjV*>bkEDtFFX(&eE4Sj~9E$ z^!}N?Uq_o21vAyAC-8kb9MB4Vio#Hthy}Pps4*TQ0^l)cLK+@74~g#%)6CH5H)(*v zKFCYJcMx$UQe|KUEJ<-Ti!eN0N*PGXwQo{lMleOuqy(7`u#7K!WJns?MOHB4AABEO znot;Ip$H#SkSIFzSfmtCMErzNNZO<}J!?;{a6V8N=m`yuLxJ@Y@qn=uO2e%Sd`!qV z3a-`CVy)spiAv?i!QmTvJVfwU`zO_;371NfJbpmRxJGJxz30@hv28CiDi}shq>=8E zW*lHCV*bqsNgcwV8+?$83TO7{L5d|sMOfR8hJcVU39adEF)U&(K8VQv60C8f_(TGv zV@$xNO14u_xz5Ncx4tZlq2u7hD3aE1I1-#fo>SF?2y9~#Qj+=+~@DZ8DLWPu6=XlLmrJutgK zmG4$wn4ARvapiS_ZS5~AmG4&j@WFrA_FM9756IswI(wG2KZ`uLa%rfI>n>VDVk%KFy$Ok zS?*?Tjpmzz3<^O`RQr7o+7#qZe74!d*)-j^zz?@3Lll*&wQ-%<7Ylb zm8q$bk*O)>Q&X&oot=H#_-9A-g_#e|!hUvSgzaeUw7EV%vUpp}Uz>F)A#IpXKm94s zM0>E7_Uzf`p5t&ny>X@JTuU>BsiIUt2=BC1)pHQXOv|((Y*Mem!KINY*JU|q&UQra zBAK%2ZyvNd-*gF`ugkV(wyriWQQK9%#QZe2-tP#T(B*RWu(8lqCl zIS5iF_tX~mWCJwNxHeqm_`r?2S z41h&&wZM8Z+{AKsi93J2 zPa`CXUu0oUq;o2Vi|Ol!6GkPNKaRb6X@2jE*D1dK+}`r!jeTDzohpV4Niw<54D{VE=!T zbR48WB@DH>w?7hUg@i~^kc!?C!SKu0!BG26>3+@qgn22)wAIgm(p2uiiRSaT3EV+j&g z$KeDgBXATr7Rf_MMPqn3fCczHG+c>44ojp|@kK}=XvCUj-A2&^?gf4HR-thZhw+=~ z?A~2(Iu>G5VfaiaDnV&j#ObQUjh`es2gW;QK#Q1RG{+-snC6~;h-kRKI9Eho{8Qv+ zYed%wX^afa7R??I%e$BGbDAfpF*h-sG$RAPnC_V*eFt}h->I3D91X|(!?BABxIq>3 z2y;sg6RT!vhQ%Ps+FH)hIFTe36U5@h6GSngY8Lb?$z@vJ*mWdifT|>`KId`C0~=J; zXj%33f~U2$p|-biwbqN8x5ekW-y*l;q|eG5k=1PyceO}1wN5t8lBVcvA!-u4pqac` zl!T`Wj(O-`%iNGK%g`nK7nL9X7`oX?<<{%3zmJZP<#Z#n{hOGW(Uq@PzRt~MeJtDT z*>A~P%`Atyq${Qwq6&7^v+m}x9+vgAnSM6owG}jrLsd0>EbC;mb5BF6%1tANa} zRM@@329|AMmpfM0ql&=T$-*{vKWt^$Miv+@VJ8b+u8W86+oWZ_avk~gdTk{w^6msH z{pl6UtW>6E`AG=d&N4ASd$!R|HckIERBKbSAFRA>oGonGruN!3o~`^$CEq!_^qFJD zuCylj67H6GA;l@%=u|WAQi^FzvDRE&FUb?5%>LDwgK*EAbgKp*-Sv=cYy%6l@S_Dq zfMMa6QPV-bR9-k}>Rd24`IJh80tIIosxwDhCKaMiFCU#(EV_{&;Swb#=xR}-m#LT!d{+h!UBN8PExtnKZsBA$< zAUTXs4y1A@qq&G5z5)g7z!yI8?(fVUzxCC-gXnP@D$JdV`T$W3>z6kOx<6*^5BI_l z@R?x`xS>%1iD->3hV*zr2SspudaT`#S%`^r9}L)Jpom_<^A?P=enLqDtQQfq0Dm{q z&xY?ETkKP%M?VJ}{hG zA;%e>y>&_4NCOtwWCCZMK|DJN3zP(!)tL+-^4F*D(64O1>Mz=1F)i6745p5m-#m&R zi)bvy@BIN8$_LPLu2Wu>28RIskP`2)vrHm@j!CoA0ZU8)I0bMd4oA^d=Lt9s$mY8f z&s(CsfrJ2BwphvpH;uABBd6_*(0nqdhR(9L=9=bLu9BDsdqNm4=pt(f6KP-=3Ll`~ zbZb#VUL*kx&lQoIJ;sH@YtAGGCKE0g=F93|505U!=CW%&Wwg)B zYio6VHGZqNUD_tLeL0!5(|lR}b?}RvSFWRg)n%>P*^bqvujyEgF4pN=t2)*d?P=?Z z>Q%2yqM>&ZeQq2_<<>8(Ev%?i*v6gdc^Zd~HQUF)>SK9l0}}~n9{ShWuf2BXEUa%U zNXyo?S=^>`z40}^ShcT}YU69mzXp+iku4?u0ZTN|RTEP`;f!F%Isx^e@BFeWQ(B-K znZ6=5lGDar$tmEn859&UYloFG^h`X)W~gy@1%UY86Ia^-zkbbkgNu{Fw!$K4bEj2 zMIwNw8qDqLY~um%7~}wEm=>U4M5?VJ_mgf!7?yCTGv(yX zil5yM%U$mG5m`CaKD%iJrL09jA^#v$|GT&xjKE#As~GX40Fco|0u*p;!!-vn)&~f3oUx_-B1DYL4lzE+})DZ40(f2l+Ad^Bf&V+5(4WXUFUJ+3#PyEe_8nbE2ieTZ1GEx=d-kl9!o{!k0(F(eZfvr`#umS6rElB? zHhKt)S13@@E9rF*!Di*w~oFzy#f75p@$009sdRto?DTt8tRvGtFEb_ zFEA)kZSI60g%ryagd~kp_>JaHo;9C2?ccG21^(!=f`O=zWp(w2{ zZ`#GrnTv6#@~a&-hOmg8bIJdjrj7cw)~M*BRa)9Cu)^lDc44~-SEl3fyJIR%;!))= zYTktkA09GA=Ap7hX&~1^S8eC4T+6C!-WH!QKYfFklk?%oCD2woi{?$*h{;J|+o}Z( z8I8Cw5V9Bc&Ul+CDZ>*(!;9f$?T@oEJLP82bD=xU-g&;YFC48o+=$~wFs70{+k)IA zcWez^$|hGWOC4%loUX<6xUU9|oix4Do$d1rR1vRK#?9N|l||lD^N~j`ROaVL@YSSh zf;D(H&aQS1jo65!;vwwy`VhXibrkypJhUHv>;?PKlO0ucv7=m@lL>p;egk_Tz}}Yk zLC82?PuFPI>CxBU{GMmma5UzxmDH0y%$ITA_n`I-F}A|3+1+xdpdX&Pb?a|Iw{BI& zUwB~_nHDSa6+UJSUj&DAg!w%F*hsg7{iAhHLg*SjDT#Q~KjvfF@+_S3x7Ev$7D?Ag zd~wmMbje)*MzmV4O-Ea=BYLv`r&rnP0*O60#SPkXvvA*y7d3JCrOP+fht;6*KFu;#*~`0w}_$&8QbbF=}|}PNH|3%)NCF)YRXGpgY+r5%Z=JSX8iw0uTsXo0nuZ&g^75Ft;(sbOgXD>?luC0KVn z26lC9^CYMSP;&EcS|7&n2(Z&F6W%g0oF&dvV=Up5*Fgq>KRhNM#Ka53K!(UAs|hp6 ztw2}hTkM(U`l}et8BF2?iZK&`xaJ-=hwGBMt`sqt8VPBdC`^ovZB^P^k0p(6SyUUp ztOs~myY3oq1=W=x!Lii>#!ne8LfGIoG#dfME`>8vu7;3it(1-BwdUKH^sPfdrDNw|jyA$zoFJR$Flx(p+YZgWSfoPl71 zveD>!%g&G#;lxPhGEMH}oE2e@TdNt)lqVc!YS#E#={9hU3I~o;<@EQnvK*U96pH{4 zwzT^aIQ&!rpL31lMLe#JwZ*F1d4#tGskXfkRcT|quF8#vgFtSllbJ77eXgwf3@+JZ z+UX#z)c>9tM@!n%U6{G5sWQ7#N7ouZ`?Yy&)#<1cTfQvsl6)heKcd=K$J1dWrbK<2 z_5Sv)Si4lNJxiM$_Z&WR*9(1T_P?X=%(E{XKC}OY!|!==Q}_P4w1>~CR<+nJql zn||dfx>lv~UlaS)ubqAF z`gOLwyao`QD9} zZGtdM7a8BT^1FW`ylLD!q%f8=nyaK1`T%&Kq#IK_%9w0CQEEcDJK0TH?gei^fn)rc zCs6GKTtcXzVf5<01TOw31o_hWe^93rdR(DY7_0Sh<+~d%!Sk(ey``~zVi^bXD!B&7 zV}pbVm)~!my~G*O?u-1J$aOZA5T-H6qoh5Q-p=B1@B(qhU>A`m<}c8 z(`>M-J)n#C|Iw6E0S)UX=wyebZB90Yp$@y&QxX6{ks9G6wfM*!Y-3HBl{<=*Mq4bL z9PF3^&S|g9)SaZU^Ryy~D(o61F`zg>_fe^_Nk#e)(Z}m#%Jj)Nb<)*%r<)Ts6tce> z`*77)^|Va7EqE8w{Ghf)(k$5+LLhc(Yoy$ZkqWP_`-6 zI1m|*SdF90NxJqqF0-%`uZ1;?omxg+^Y)@oYWp)v{G2#Q;z`G)ygQN&n7qr~r1B2A zdYDUhIFV-_0gpgO2tY53y&3wkCxbb#FGGJ-UwTyZ+pq{Y4f`A7JF2h;GmVdrjEs*{ z1z!NG5cc*MAGeMi7R)g2wAt=0C9{+l0C%Iac-QWxCFb_c7oFFKM0Zd+@x5Wxgp}G=kr4<5jq4|bPD>(U( z`9&m`1t(#%TKqezdNRSf_{_R;XPM_RPdlDPGH#aEYvZbQRua%D!>{9Rx0^-hP5<$T zE#eVW1fk(!vM-9`B!&oXV!D-SYioU6!Z*2uyh)spHs?|{tF7C$!&cUmcB6wvjl5hX z(nV(6q6XV?C(n!7*_~Hun^=y-Xve_|g9fW7i2xrvfLiXtOrNFeIrHprB6sl}bC9Dv zwMC#_ACsm(?ra~+wk2C3%3oyPZSmZ5%OqPzlw3#N)nyf9^-E_$Le_^@SH|+Gvhp&% zeClqD{RVWiKdIB*=H5o=iFsdJ*w#J^&Ij*ty9Liw@TkvMK;2tI^AP^b)ZuRGLeg zpi|*QAZ@h(s`rVZ+SSoqgMx2~GkdnY{YDL*Irgqs8C)waXP+5IXm!Ila(Y`^70hed z1GVZ3gi=6ATxKqYc{*{=hk5_o;eXD{8zN88-r`=oXW?vZIZ3`G^DVATX$>`CbzPd; zXoB0PUwT3<9kX<`T^6(an-FaPX+OEzOS!N0Yhai4eYxhuS)_!A4^OK?0Q%M2D0(W~$5T~i8TOv(Q ziQS$B(513IHPfqBZE&0WBF35}+dq8k*7*3;tktESJ9icuR@SVZJ-bxTvu7%m+jEP} zX>Q-XU3sMPPd{sx@364UzG2XV>W)qMso2~Nr1_GkM^EXU;dFWp*>0M&YS|p-J1xtm z*#43H86VsO?=(`zuB~9Mm37JX9)?40=)h1}=>60;jGL5^)*F>U4V$bHg*XaG?`cy& zkBaym_mJ;Ir%9wvt=hgT!D(#V7wRI3@ZHc1wOj?joT;eG1qz^}jY8e#My7qa-7TF& z^Y~j}U#3%49-Y*%}4!b3ot z(G>F*4=t2Z@&u8j!XIK&%z>5l&{$e%ZxuPh_2ptS+&km*$gO zR^pPioZ+I+Al~U^=isf&(oj^F1x!DN-8aI}{Jq=-oWmpY)B-lQ=5cK(ZGW?ol5y@# zhT9Mp`8H&6=n*v9w0}8~TrRRZ<7%^hliH{2)|XAH(pR%%5#Zf7D>Oe}<9Od2^r@d! zex~Vpx&YnmcPsz*=p_Bxr&ylpnT-EGR$y5;GT`L@kNI|_dk+8J)2|=?y=UtVa~iZd z*#up#KQMjkY+L0wME}#Udwn+3)jIxUeHX+SLV`x!UJ3hQle-`OC+~Ul zd!By%&F^`(?y#2+b(weLnyW6@lV0pEJ9Ps4%d(c1>Fn87T`kX>3)nAVYHD_t_f?pk zoth%-oKTy4JP-MAYHFcU`9Jfi`S3jPdl;z(ELyX>tw~HzVlYUf69{nuB)*KnVJ?Iw zAso|qp&9&b%!xQ12!lr%&kk`eazCRn61Yp_E6I#sGP>I_opH_S2AFM(91XIZJfq3t}645CJs8oQ?5RI*J@ zcHf6QJw*@RRRRF4t98G36)V#Xo;x_ibrZ@Q*ydt@3>0~w5b0=0eBp~@cN|u@E#4<2 zFaoDVo?ip%=#MB*>t>X>xrDt_@!ReNIYXC&4GLBENq_wCUb7BO>zvJ;ZG$$-#S&`Cj3Rh18nj%9m2p-f2dUB1|mv9wQ6`n!{0~{{&m=hcPVv6&3fQTRfD z&Oso(OWR>{kwYMjgF(bNsFDd&JZS`zpJM>{kmfK_q^PYtHk~U5Y1U2EDX{L6ax8!m zU>q#37Ob34@e~7P+ypkz=}L@jf5lSWUNQn1<^Sz}--aGw!+?w|3d4kgX9r zS<;}NMW7jr`uOI!ofEEo!>nFcTkC%@$7BbC)eJx zX(QhuPTBRdEM~GE8y#(%Yi^=iloy#rn>(*@cH{A- zFvrX|GaTOSPtY$K$~XtKc@KG?23AoY0wB!29Z%)@bq8ov=bl5xhTZqxsF`nH_@wKa z<@WP{(CL~X6F~@16iE(7+Kwc|ndpG09nOZ+?CvCT)2Zlq5d4+Vo`iu_*M>%W+h4+& zZw@x9B|vj(`@UG>q78mk(MVmGMj;{+GdI^TaO#8C-YY3wS9NMv~5TeqHWWx zN?2G`sxC~VOq=vFEvsG56Fo|gEg z>iBH7Wz;-So5P(p>|#^K=5TX8&GAjy`C8JGN5CWC5%36j1Uv#gg@Ed*)^15-SGubz ztbifDO}{17d?D8y?zB-{PW^RubGgmoPV3o8`J9@lE4%Do1FyENIb4lcqo=u?wT9PN z-KHdO{vDgcRy*3*l+~rV(vYb&&$7`rdHtkqNWQs&=C)_Ly;>_elSK42SHuj_%nY>B z%$*3VIBooMR>G#X^&>8Zf)?vqY(727UK_cq1+&5h?O-ITs|tl& zMq@d;DZFxgV@r0#1CF!JOP=mdcZs3D(j2>C!81VkH|7kLC$g+RKh zR69G7pI~eI{q@?C@4VE(&g=4;dmOJhhtJ><@CbMWJOUm8kAO$OBj6G62zUfM0v-X6 zfJeY1;1Tc$cmzBG9s!SlN5CWC5%36j1Uv#B0gr%3z$4%h@CbMWJOUm8kAO$OBj6G6 z2zUfM0^0-u`yPj&(DWzcz@uKH+_=8SZb`f{zS+Y1{q4P=+c&k)?m zNJ1(aPF3!L+ldJ~XRN!58M71LaEWZEv#w`+$zhNUnsWWor_SOTwd z;uNsZA6cDb6{bu*R~2kGPqJKxw27>DmrK3V;?z#-uuyfy1gVp&9e+7^ffb5B{2y?`p>H{{zxxRWbko literal 169305 zcmeI*Z)hZE9l-J5%uaH1X_}6gR!(~AXnQ3JwUgw;(w=2%ujPuh1ZfaOXlmO-K}%@+ z;$8^%T<_FFu~ej>U?E5Qq6DF(Zv^qho;~fA=7s1Rp$NgEZz?vZV5Qpi_dGM3>`b=V z-E02r?tGuS-DjRZGxI#3`LnanPIhJgRcqNl5$^%H_FE5pqjw+s zY;y9hJjmB%eD<-KPbUvQxHvsKBM-_IGs*PqP07qnGt=^r?4L<)nVG&tOCA>gX=!L! z{^|UQM2sgQ`x0-##>W#c_I#QCOXAf%@50iL6RF#L$?NS;ByLT6iKH?o&;8!kAq<>= z8Mqc#x4~g+*GJ}BQ+qW%qANbWS1TWo^#*;|&QF?yebcJY^i5Oxw;>qy@3x_32q1s} z0tg_mM*@DjM=NHv2q1s}0tg_000IagfB*srT(*GybpEnuW<~@M=t-c~Q|)S2Hr4Dl zy+!~51Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5NIR7 z_vf}jAq#<>5vcFXVuxy}?pL#isz-DN0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~fo&EDjmYRWFLUS?r$z(>wne~f%Tk7VA>8;k)SH*iAuw11X0V#O z`>h>GcYmF%5dj1cKmY**5I_Kd;T7_`2(Z3ehyW`NtiOHJqR`*#VN)gXWX0tg_`Cj#78+b0`>W*~q7 ze^bLgfWQt4d@2KtUZ#q|@1_B5mfB*srAb30s_`%KH@$}Or_ zu4v^_3bD;2WCEjNf0;n!`=vN5RfI7^L>yzipoK8mkBG~3V5Z*`AWu!!;>7IinhVmHot@Vpjg=K? z%+If^EH5|YzIPjcY{>HR+FCGjiu4wC2< zl)1;%w`X)amRpT8`*-s(*=GDBJ^KWD4ddAhq)_gV{6fjk>X~c|cgp5e^A)N6L0*-*{)<=jJ#AgzoUO`IbWCa@BT|1o^z69gv#*_*tZ9+__RQpUwx|+8 z-y9h2XexoPefPsSbs3SwDq;5`-^QAmhH4Z9DimpsZ+$ebKuE(hJTiBM$dc{sS&_Am zxp!(oV2?O`3;Ud!`O(yZ14$405=-ROC)}xM(atmc;i6af{Q5}hdRqHV8p%FM>vBWt zU9@9HSIYTUY`lMbbzeAbFOjr7TGf+LHFhD}VLKeFC!Z;iDLt+Zdy@JiksJ(TJ(e!$ zE^}J*Wjxly?q+joL3g(>IX3kn=aYtdd{!bnE;43TMgA3OcP|)42#)Ca=p%Xx`hez) zKNd^!d@vGyJ=*8Vn(jZgUh_n>;HHQF$&;^6>6v-a9!Is`iQMs|PK<;)P8%InqhR$m zN%V7o7gseq_`KcgwB8R~n9X<;HZ)(x9h=`hal2XH$88s`E_C#x|8&A zIp|_dRy0H{BeAh8=s1?j3f_wratfD)zXlw02CkA@lRLMscpH zrRKE5aZ9J|n5%tjX5-k{v09Pq_0bZVS4}Nh!#rtR=Qa+wC)}IVBc>T=<*}>fp0W!Z zJD^sbP}i=yi=FJs<&D>#Ju`Xc_49B3=GBXn^~smzb@I*bcI!Y3hd=!AdCNe@;+I8VM3xqecfoKCbUO zG$!czx~@lBG;#71_2lT}i&5yEJtRTx&_X2Np7LI1H?sRk@Im`IhxbzL$>gY)h6<)3 zz1!4B{a;CRyG_Zed#>J-v#{N^ulcc^aKmh&=mp}K9f=Jk_Ve8+yDZ4+RQdS}6EdMB zX{ckbjz))H{d81rQ2mHTOLoNX7pje^LQ8-1+rb2ej}L%Chsy!ahl z+)k<#n>|SHYV@+&g)AN^c7#+xAaM~|<<3P~V<00IagfB*srAbEd$BY5jx6 z!tyqi7fSUbNmI($&1Ca4h~_%W>1KYf@#)eG<#c&HozkV*`yyH1j=iS!J?9hUjue++w77>a0m*0fn+I$&F0W)4 z)5ZR{8-ELHFPCrJ%h{~fT~5bulzq`IPeGcCOWg7&o=WsvY6d?XZ0*A5S`%(M>#X*^ z5X!H8S)p04)1+F@sPII2KYcHXrdcz7uXt*la8(3h(@~`}>9WSWo-%4GUMkk=*&Iv8CcV%BRqBx>&oblzusp zdtqv+Y_dOLY{@CEXLET=Rh=}&^ eT#GfqV{3!lY9x^*YiBP&G`{~!dH$7UzyAYmliU*k diff --git a/main.bld b/main.bld index fb81aae..a270818 100644 --- a/main.bld +++ b/main.bld @@ -26,7 +26,7 @@ NGDBUILD Design Results Summary: Number of errors: 0 Number of warnings: 0 -Total memory usage is 129668 kilobytes +Total memory usage is 131268 kilobytes Writing NGD file "main.ngd" ... Total REAL time to NGDBUILD completion: 3 sec diff --git a/main.cmd_log b/main.cmd_log index d5c357c..9af00da 100644 --- a/main.cmd_log +++ b/main.cmd_log @@ -376,3 +376,487 @@ map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_ma par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd +xst -intstyle ise -ifn "C:/fpga/pong/main.xst" -ofn "C:/fpga/pong/main.syr" +ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc pins.ucf -p xc3s250e-cp132-5 main.ngc main.ngd +map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o main_map.ncd main.ngd main.pcf +par -w -intstyle ise -ol high -t 1 main_map.ncd main.ncd main.pcf +trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf +bitgen -intstyle ise -f main.ut main.ncd diff --git a/main.drc b/main.drc index 08567f5..807471c 100644 --- a/main.drc +++ b/main.drc @@ -1,7 +1,7 @@ Release 14.1 Drc P.15xf (nt) Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -Thu Feb 21 20:21:30 2013 +Fri Feb 22 15:42:37 2013 drc -z main.ncd main.pcf diff --git a/main.ncd b/main.ncd index bda6523..d978507 100644 --- a/main.ncd +++ b/main.ncd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6 -###4424:XlxV32DM 3fff 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###4824:XlxV32DM 3fff 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###4160:XlxV32DM 3fff 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###4776:XlxV32DM 3fff 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###5132:XlxV32DM 3fff 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###4564:XlxV32DM 3fff 11bceNqNW0u25CgO3RIYMCJrHb0AbOxhz3pUp/feBEh8bkBU5xvkuQch64cQgnjf97XavSr/e9Vt3gFHut0Hn86FD050H+8Peqf8O+KTqIwbctcHH8Y/K/rH2TKuiZ4V/Z3qeKBIK3pK8f7gm+7C0IV4frBXVf6ooi/06j5X9Lv5Ud26jJuq/6sojfKTonsl7z/Rd/2r/P/977/Dbf6oP/bvf6f45zr++k8Kf46//pXojzv+Ns5Er6mIZLOQI4ubVVSsYmCXIP1uvpgIMVXyr/EbxgUXFWxWIRQV3DOooK352xxGmSiG1Sodo6GNSsXQB/G4u69xHOkRU7Vrc7RhR1tHxTEPXfcKt0Chy63mozw7+VL+K/MdRV4oUyBdHEg/xpff39GLvOJ1weKlC7wkGO0l8wXv5MH5oo/q43rlT9X0SXplLxkXLPI/NCeW9n1VF1oK1R5I/2UvoC9R6nKUmhKlIX4vNDGZ5AqjTxaxskB8OfIsQlrhcBWJso3Ts8JikswxrbCYSHBR4ewqhEEFn1XwXQWJIkXVSjrVqEXsXZ3g7nSusFZVZOcev8IicqBH/cbp/onVGvfcVnExgc8m8MUElAYTxJxq9CfV3MmVSTZHRA0FW3KyvqpfEGejmJrwYih7jrKpKlnny3igkH6NWxXucfxwwYzjJwX1a1wrqnsiO4koxLoHzvq85J6yx+jgVvxw/hc98EN9ipGpxRnRYGST48z0OFOs0uWEJV0rfGunq4mqioi7CSsuIoQmgn72IhxiVdYq76zXEvMnI4gQQYQ4ihD7avODCDaLYE2UKTnZvjWJ1k9mq5gVlk+SYpE3OHBSRnySO0ZcRLy6iOe3lVpssZVEpJOtgrhZBUSIIEIcRbi7o+5x8z8+CzJ6FsGwCIId48IidRbX3tenCmUzudi3h6qGRSxSX2BYwWIVwUWEp4vwI+KJRZDw0uJbxPzJF0R4QYR3FOFthWBwgwghixC6Lx2LQFRZPryuEZ+q1spniHaFRaRHxfgL5511io2gIBzVHCtC3+SF+YlqbYz8bLJxtWKFHvVH+o8Jk+omtIMJz2zC00SZIl46vL1r4NTjBeKWp0AlDyIIP8RDkrl/8bNgoggmFlxU1D1Qz/1yCyxiW368OxUWR2fh9rFueMOTbO4p0AqL1F42QMCD1boIpotw7EVwsufy8srLj5ZYYgVESCBCGkWwPVbMIAJlEagvt4NFIMXLI/ByA6zeGjuWYwexiGToNz7VHAuCWxkB49mx1xgrgiW1BzCRjKN+SF9M5LqJju/l1MOXTcQZSOtoVrhlCFDhABUEI33PABXLcsMoOEBFkQ/pi4q9otZqv5xOZiGYGBcWvrF496Gc7fqMm1VWQq1wT8MhrbAoJbhI0Mu1ey/BxRI8XPCZVL+IeDDjs8ItchgXCUKPFL2PFBIW3Nd5KB4r3LZLqnsRzpdgNVITA5b5ORFfv/gJRnqJRMFFxdhVVN9nr15AVhED5wcVavAjbtUf8bFjgxUuFhDxABUVLBYFi8WCiWQ+yo/0xQRDtblfKzdz8D1jhcahF4s/qqxT3MJr41LRLXEr7GabCe6F3iBBrzV/7H0EEniKaYl7YIUVHgKtS/C0QKL3e61ILN+gpMGNBLD6rrviuDaEH+LWk6Tof/ETjPRy3hRcVOylLD3frZZHKggVpzbp57w/VQysAtLv5kfeS5GfiCgY6WVc8EeF51NK3lWFsVukz9LTPPKKl+YyN8e9DrW7wkUGYuJO7Jv1WmE5iN9ujaWA/3TDV9hyM/rJJ37u1tSen76Klc63yhPU84zdFZEf6RHnwrv23EwoVkzKVfm9s2P3SFsfVvxtNl2ht9KJnvGVI7PuhFU+xPg91Gfoobpf44l7hqhPbA23i36NR7rOX+OJD1DoD8ktT7boyl69q+LPX+OX8nZlDxlPdNKvcVJnW6iP7t20sXS4SzctB3nrJonQqXKJ1qep28TjiYNWa/+s8MNB6/MKXuFXn2Vb85bHgf/dzvpyQzTjt90YebPEzN/a06z0aU6i+j2Up3eJq5N24xdVJ+H3ehBUJ+3G8//dSUd30tiHeoqTbHZSTr5TJpBrOLlm24xL52mHpdO0m38RTUqfHHnSZ3b6fFYY+e34O+6s4fckKAR/6Usz/tKHZozf68fP+fs3O6XdgTGWIKdwXit61B/pi5NNc7ILKydHX+8Wg5qV9qAEYiJwMmDpv+z5VyPuxhPjdianqhTS7+YHNRudOIiQH+K2yTM9yitOFrz7nmC0RwsSuLsVfWU8gT4iT0/nZy8qbC8+/XcF/fKUyFPeHmedhetxMvZ/UomTXMKeV7Xzg37kxbAbf6XptBnXnBH7BfBZtqXgTt7ma3AjRn47/pGTD/IXv2dsVrjLV+lRXvGTYLRHixNIFi/EwQtxgPJGSJ4iT9sxGBcnnt2JZrXYAxspfhnpNz4h2A81G0FwUxIy4vuVEWclHBj5odkIDySXExaj4J1+jV+qO8IhQQX0wzYa/h96tMduvOsvl71zkKE95PtIX5zc+0JO7xd7NkocF3vk8q6w+DR2XGWhvq8E5Ks5ePUcFX4qJnLx48Z9iLS/Vlj2YRNqRYt42ELuFf9vedbyPawi4m7VeVz0aec0xjt5BBcTfjpTZzGhTasKtzUVyE/7yMFl+A4b5Q+o+NyY/yxVoyKW/Kf5DRDiXjxwL3sjn+Hv4feRfjf/odmIyM80p87y9OIn3Ct+4qQv/mwvGRdcnNRvWu21XyqJRRL8Mi4sru7nuNoXJRgj2CGBnAn0wHELegjuwRzmkwTj4e3bOaVUJXG1lg/p3x09HzftG9VKHk/1JGQSL2agv9q+WvkhPX4f6XuczIu322etn9AXJ97diWN9dBUn6r5YsxAKgvcYgx3HA2TEfHzz477heHEiln0i8YM+xH2zrz1P5I/ybOWD5PLFjzHq2xYTzUEYIGMGyJjyvSGDn80JvUNq3X4xGlaxLU7eWguLp/vRruqbnkRo8ls+drsVlsPDq+qjFsR9p4hzEajomP1Cx4q+F3HzfIJkQJgMwA+W5mA3an74ivKI/jt6T7jTVZwjtOLgrxU98t/RH5C8RP5/oi9OflsRa8f65i1Odj3jJnbiUGTpX1iBkxBf/Lp4OPFORvU000vzvWUwmp2s4KSgQJ4HiuQHimSRp+/EIt9af5T3gSAQeqkMLN9noj5SWWR+tKJH/ki/k0/0/6af9f8EwatasvDHd7LQOd3XdVovDwQHdbV88/YXDeezZ3HT5UcWD+PCor9oOGnP4lBXGFnc/Ga6sOgvEs5fitBtRxaa+jPo1/7ROet95uq/Prg/OKVfiVQeZ/VHD+3G7e3X03TsWchrj56bewH89mOIf/cs5Ib77TV0u19++/2y/1GeycVfYzlcu739OV9c3PzF9h75qUtR88N89bgVzsFQsDEPrfCjbr41f1qH7Y0tX11p+Ur9MldtFqv6duPWNz9Bqp30L8xfffwaR135Rar8iPk/n0ZrCab6JtjrI60wyoM4XBVrVRcqYmr0pH/hvItev7DNS+0XjpQ0fz/WG4rElwV1/GQv9L5r3bUlwSS+9kf8UKxXnSY+K4zfQ4z2Rn9IlBxk1Uo/Gf9kq5W+Mv6qVDce1JfHo3riSh4ZN6riEqVXO/LHZX9PlExKV2NxUFrS9wpLUDq/xl3pw45OFP6iFCmjV/gKrAQd1SjtkE5TPzBScCssTs3rT6+wyEN8bbfDIu/OPklZu9K3O/kwS32UzK/0yK+lGjJPc2J/5hDt4uUwZ7ubTSTZrZkE8OHjM74lQywuDeY6VrjH+bDr9io/xe+EfJN66prQ9acEjt/+q7pXIT6z+YpKcY2Dqjg7te/a/c1tur9fCYiV8kZfttzPe6N6n1l/fYBYmcg173utsNcVW1V/gIFYfn3wwq8TBIs8gosKb1PhWjwK6j2CaviTbxuDrY5BnFTkK+U1jp4TkLqXOGvKv4+rKiG+qPLzKV0r3H6DQvCbFILfpFD7TUq2Yf/9ml71KKVojHFur8dz+iUT/8xFfqmVvUIr7PUZ+Wc2xwpTqPjktxyID/5l0O3q8xfEO3kRB3hrIvr064J4ruj7HtjemkSl23H5nko0VZL+Wd5WlR3iSLOUB++k8tbEcsnlA3FFUl/IfGHgt+OfKExvOSz/Xuvre4Dlbcuh6FzhfglTj0ry/TfNnWbsswh9/5XifBQU+uFyLq3s1e5DaO4fiL69+VexyOdSXYgor2AT7rSkZ/s7xb+0Avov+wJ9iZKjLbTFY9y8VQQ+nKW5/cZ7TLsB4pdpfMLX/B4RMfLb8cefur7gARxHeWz/KWxU/Q48qVWv/iW+kIAwyScPuJaahUChDc1CCEZ+Mo78tZrDSEMbiiBMBWs2cgxfJ+o094TJruh380V+nL+TR8bRnjv7in7FSbY56Z6O67p4Ke968qtxzylOsPxqG3FvPlXsEy8ema+46erk4duMhz7RxN9Dij7ASwd4EccVhKpSa3l784+geQfJijBZQbJhvJO/jXNyiIqrCKDvyYSrDqAv9v0f1uOrzw==###2872:XlxV32DM 2319 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 \ No newline at end of file +###3748:XlxV32DM 3fea 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###2068:XlxV32DM 3ff5 7fceNqNmk1vG0cQRP8Se3pnZgkZuuegXAIkR4L6iBOkbRErG0j+fVYmKYkwp1/55q1Sr7Ssrnla+27/+Lg7LE8vD/t4Wnbr316+32/WP7t/n5dP823Z3Nyllu2tr46DPd3cPTx/OeyX3d9fl8/3u4cvh93np9Xlu4f/Pm1u7c/UYKsjH2FkKGRwMkxkqGRoZOhkmMmwTR9lfP8GD/vVYego6HB0TOio6Gjo6OiY0bFNHnql/FbMb6X8VspvpfxWym+l/FbKb6X8VspvpfxWzG/F/FbMb8X8VsxvxfxWzG/F/FbMb8X8Nspvw/w2ym+j/DbKb6P8Nspvo/w2ym+j/DbKb8P8Nsxvw/w2zG/D/DbMb8P8Nsxvw/w2zG+n/HbMb6f8dspvp/x2ym+n/HbKb6f8dspvp/x2zG/H/HbMb8f8dsxvx/x2zG/H/HbMb8/yG8S/gfwbxL9B/BvEv0H8G8S/QfwbxL9B/BvEv4H8G8i/gfwbyL+B/BvIv4H8G8i/gfwbyL9B/BvIv0H8G8S/QfwbxL9B/BvEv0H8G8S/QfwbyL+B/BvIv4H8G8i/gfwbyL+B/BvIv4H8G8S/gfwbxL9B/BvEv0H8G8S/QfwbxL9B/BvEv4H8G8i/gfwbyL+B/BvIv4H8G8i/gfwbyL9B/BvIv0H8G8S/QfwbxL9B/BvEv0H8G8S/QfwbyL+B/BvIv4H8G8i/gfwbyL+B/BvIv3HBv/dvjji8y5tTeBPVUrWk6voMHwbq6YPMZMvlkst+WzyVbffLr7/vNqW8ud5for/9/mDHB/Rh1Mi0brgwyhRTUUyumCbFVBVTU0xdMc2KaYuP/BigMrHLNtIwk1xFcrnkmiRXlVxNcnXJNUuuLXyOrqyOS6vjyuq4sjqurI4rq+PK6riyOq6sjiur48rquLQ6rq2OS6vj0uq4tDourY5Lq+PS6ri0Oi6tjkurM51Wh02mmIpicsVEj306Z0twmeQqkmv95reK63TkCxPXn3SvuIgiPmKWYDLFVBSTK6ZJMVXFlCzRBfENP6cLF3xOF5CouIrkmiRXGyfjwiUlg/gyJL4MhS9D4ctQ+DIUvgyFL0Phy1D4MhS+DIUvQ+LL0PgyJL4MiS9D4suQ+DIkvgyJL0Piy5D4MiS+/OEqyuqcTJZ/jicXLWs5bZgLJuWOpt2xKHcs0h2Ldke/FWb5LTV0kZbo7DJlmEnDTBtWpGFFG+bSMF+H3Uu28/Gxl26tnDWuLIxLZ40rZ40rZ40rZ40rZ40rZ40rZ40rZ40rZ40rZ41La+LaWePSWePSWePSWePSWePSWePSWePSWePSWeM/vyBd0hekS/qCdElfkC7pC9Ilf0G65C9Il/wF6ZK/IF3GL0g/DHl5/nq0ffvwD3eZbLlcctlzecrlmssXIbsm7w77h392xR5HrtNHkOrrQ7Zc3/32xyafMcGMSZhRYUYVZjSY0Y4znm7uXr7f7/46rGv28X+iv/4T2A/DfmCY10PPtgNx3dDX726kDe5sdGfL7mzJne3izvWox+Ht+zruxtXrNrheBtd9cH0aXK+D621wvQ+uz4Pr6w/dfrp+qqt+VXj9AK5/iY2EMhJ8JEwjoY6ENhL6SJhHwtVn8pqQq8/kHMrrX2IjoYwEHwnTSKgjoY2EPhLmkbD9UcCvwvu5e7kGmVxy2XN5yuWayy2Xey7PuXz8zwTX5TPiTWA4ncyPme1Id5muTJlgyiRNqTClSlMaTGnSlA5TujRlhimzNGULU7bnKcPEnKvkMTWAXkB30CfQK+gN9A76DPq4iSxvIsubyPImsryJLG8iy5vI8iayvIksbyKjJjKtiQyayKQmMmgik5rIoIlMaiKDJjKpiQyayKQmMmgik5rIoIlMaiKjJjJoIoMmMmgigyYyaCKDJjJoIoMmMmiikjdRyZuo5E1U8iYqeROVvIlK3kQlb6KSN1F5+wXiMTOMK6ZIFVOgYopUMeX8a0Wqd9Bn0LOHBUtYYAkLLGGBJSywhAWWsMASFljCAktYYAk9X0LPl9DzJfR8Cf1tCf8HPqJg7Q==###3448:XlxV32DM 3fde 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###3344:XlxV32DM 3ffc cf8eNqNW+1S28gSfZV9gc16vkfXW1Tx4RBXgLCYEPcvlcEmYTcBrgNb6FYe/o40sqyRemaaH5amz+mj8XRPtyybxfNm9c9me7J9+Hez/eN5u/l5t/q+2Zar9Xri/v7kB5xPFxmSoJAkhaQoJE0hGQrJUkjFARdR0o/Xt4bEJgdcUliMIsZIYowmxklinCYmSGKCJiZJYpImpkhiiiamSWKaJmZIYoYmZkliliZWkMQKJ8bzNNI2Ic2LkzYTp20mTtpMnDgz0mbitM3ESZuJ0zYTJ20mLkmx5JRYcpKUoEgJkpSkSNHeoKJIKZKUpkhpkpShSBmSlKVIWZIUqf+5GsGGUj+fXl/YGjGWdz+ey6+b2nGUswO8fF7d/VMyVmC0FnMSv07mi8Ojs1l5eH199Z+zT19+/dpMr08W5dHZp+OP5TVczu6b8clsMT+9aAzOb2ApPy9mV+5tePPN/HhWXh4efzw87Zxb29X1OjCcs0l/vLiczU5a0+X8opyfX57Nj+fXXuX65Ly8mV0t5p8u2mvdzGdf/ATO5keL++nN6WH7Nt9fHZ7PnNLQ4uY+MJVHn9+fsjvU/O70+Ozj+eclMyP48enFrXK9vjGExzGuXHijWLn4MmF6hPug9Sf6YRG8nQ+LcvXY3HoF3j0zZ6sIMLrmHuQ24sVt43WbApMoD1ZhiJb3im16+Lm7ryyXu7vL8q760/WxNIHlCDxHEDmCzBFUjqBzBJMjuHJ0Hyd8f31xK8VVjlHOL27KSUrp7Wlbr3mGwbIMnmWILENmGSrL0FmGyTJsllEg4YNcGkMujSGXxpBLY8ilMeTSGHJpDLk0hlwaQzaNgZjGkE1jyKYxZNMYsmkM2TSGbBpDNo0hm8aQTWMYpnG/95zfPb0+vpTfnrYP/2uTOAWzNMzTsEjDMg2rNKzTsEnDbuHWMbhNWZHG24SNqrTpmsRZBucZXGRwmcFVBtcZ3GRwm8Fdct6OcXf60uZmAmVJlCdRkURlElVJVCdRk0QtmrIN2qYkT8JtRsY02oRMwSwN8zQs0rBMwyoN6zRs0rBNw+4jH+vDP19v/d2AO9l38zyHEziCwJEEjiJwNIFjCBw7SL0Rp05A9+YtgeSzlKAnKHqCrqcoeoqupyl6mq5nKXqWrldQ9Aqani8OBBKnkASFJCkkRSFpCslQSJZCwooJEIoJEIoJEIoJEIoJEIoJEIoJEIoJEIoJUIoJ0IsJUIoJ0IvJiCopepKupyl6mq5nKHqGrmcpepauV1D0CpperDgBpTgBpTgBpTgBpTgBpTgBpTgBpTjBuDj1P8xfMPenBobdY2bczteBmQePMt24ZfXvti4kE8EQeSZ6YUOO3c2i/yz46vTILcV9aClN4Hm1+fn6vb4bDia2t2JkhpIZTuYomeNkgZIFTpYoWeJkhZIVTtYoWeNkg5INTrYo2eLkAiUPnlDchE/Hb/ZPuxVuDp7y7+3lvep/1voSTOhL97hfotb+JlnWaaMGhjbNQx4b8ljLkyGPD3m85YmQJ4Y80fIG85MH4VgN/RTup4c8jb8vM9C3g3Ex1Cl21+sXi2U5CYcDlIdDEQ5lOFThUIdDEw5tOCyCb0y6p751mHGAxQAeA0QMkDFAxQAdA0wMsDHAxakYAm1zGH70H2Dl9iXuyhOuPO0qEq4i7SoTrjLtqhKuKu2qE6467WoSribtahOuNu1aJFyLxlWEcFtVDWqt/zSO8AjAdQSQ4S3GHlBBUe7sHKdzwyxqb77IRF1kxK7kcK12duyeqIONwe1W4u/c2fEpW1l/eYpqFRyfWsGRyg6ulIVjNhgPOhDgHQiGHQjwDgSDDgRuF4VjPRiboa7Bde2QZ7EOBS6T+yUewk4DYaeBsNNA2Gkg7DQQdhoIOw2EnQbCTgODTgOxTgOxTgOxTgOxTgOxTgOxTgOxTgOxTgOxTgOxTgOJTgPpTgOJTgPpTgOJTgPpTgOJTgPpTgOJTgPpTgOJTgPpTgOJTgPpTgOJTgPpTgOJTgPjTtP/eNp8CVRvhAIx7srt2IFhDrvb7LBDdJi7ssHt77BLcOwS/ABrAR2GvjmBCYnEXEVESGJC8mD/W7EYhl1ERhZERhdEYZdXicur7vJxTCBTU5H3r7EJ6EREdETIYEImsVgmslgmulgWu4RNzNVG5lpgQkVi1YvE+/D7jw3t5QSxMcTGEZtAbBKxKcSmEZtBbBaxFYGt/g6vLiN2bNst1YjOEPq+hkgEcounUfM7RJ4j8vv6EYWQK/NR2BqzQC4g4ioCV5GIyr6oSATCVBSiouJzUfhaqthaakRexyep8UkaRMXEJ2lwFYuo2PhcLK5SICpFPDuK+DT9pp4MzG5Pj0xsbOJjkxib5NikxiY9NpmxyY5NRVDG/O8gfjyXm/82nwNXCSy41RiA9YeouC5L6DYFfeHK/aL8dPT5PZsu+XR5tJouTz74X1YvbHN+Mlsce+viw+HlrLXWv2i+bc52P3deT5fzs/nF8vflydFvk3fst8X1Z39yuDiez534X3q6XN+W87VzXP8ojx4e1w+PX91K1aPz1d9P25vN9ufD0+PO9PC4N02BT+EvOV1tNys9vVu/ua2+bo9tQnmzbM277S29WbXmrperelgKf5D+oDbNwf/wnTvl+8GYhQQ5IMghQQ0I9TNrNbD0Zl9/Ebpuj+1W8GbRmkXIlq1ZhmzVmtX+0WR/yOsZVLuD8AfpD2rVHJ62dXLd9c657I+E6Y904SLWHzaPI/jI9Mcnl6tj4753DTA2YWJscyorzBqXYYgMQ2VYSoYjMhyV4SkZgcgIVEakZCQiI1EZmZJRiIxCZdReZhRtPo42x6LNExpyrCExDZnQ0GMNjWnohIYZaxhMwyQ07FjDYho2oVGMNQpMo+g0bnuQXfcHzk+GYywj4jZupnc/1t8fHjeuTDwzUdeON3fbeecP+6L0Vv8f7Lo9tp9+it1w+9K4Me+2uwt19wF+tH1ZdWfvWEPlntpVQ9uOWiXhYREoiQ6WHpaBt+xg5WEVwKqDtYd3d2DCtqMWNh42gbfpYOthG3jbDi48XATezU2Oi9NbOWlemzUomR/w5lU0r7J5Vc2rbl5N82qb18K5VT44VRCcqg1OtQ+OLHbDZmKVD04VBKfyIWlg7uF+QKouIJUPyL5NeVh0sPSw7C1K1QWk8gHZ9ykPqw7WHt4FRNp21MLGwybwNh1sPWwDb9vBhYeLwHsXkKoJSNUEpPIBqZqAVE1AqiYgVROQqglI1QSkagJSlYWcfnv4+s1Ovz1vtvX/dpvmrN5p3J91z0Trb+3d/hsaOULk9a3E0FjeunN3w/h/2Bn/FA==###4412:XlxV32DM 3fff 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###4040:XlxV32DM 3fff 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###3992:XlxV32DM 3fff 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###3972:XlxV32DM 3fff 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###3924:XlxV32DM 3fff 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###3904:XlxV32DM 3fff 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###4064:XlxV32DM 3fff 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###3824:XlxV32DM 3fff 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###3888:XlxV32DM 3fff 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###4192:XlxV32DM 3fff 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###3592:XlxV32DM 3fff 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###4156:XlxV32DM 3fff 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###3992:XlxV32DM 3fff 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###4044:XlxV32DM 3fff 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###3632:XlxV32DM 3fff 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###3944:XlxV32DM 3fff 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###5032:XlxV32DM 3fff 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###6388:XlxV32DM 3fff 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###5600:XlxV32DM 3fff 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###4540:XlxV32DM 3fff 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###4416:XlxV32DM 3fff 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###4404:XlxV32DM 3fff 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###4592:XlxV32DM 3fff 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###4492:XlxV32DM 3fff 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###4452:XlxV32DM 3fff 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###4668:XlxV32DM 3fff 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###4420:XlxV32DM 3fff 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###4288:XlxV32DM 3fff 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###4320:XlxV32DM 3fff 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###4704:XlxV32DM 3fff 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###4796:XlxV32DM 3fff 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###4124:XlxV32DM 3fff 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###4308:XlxV32DM 39d4 10bceNrtWstyLTkR/Bk+oPWW2psbsJ0FfIIereVEzIKVY/6dzDzHXMlub4AAG/BCzqNSq1WlUqkeHeJx2pfff7WhuvM4zeuvIZrTlPDy1/B30og/SaFulLRQ8kZp63TbQ5dZSdtT17aIspCiWRdxbZR1EX2jbIvYHrLbIran7LaIsZLC8ZMU7UZZ5ovHRgnLdHV/aF1f3Z/qK8mspDxP9L2qx6in2J+Dvd/EVvxKahspr6S8kerPVxyPnrYO3uRV+kpatzr2uZLcShpmJYWNFFbSJjEo3LuFjfyhp6yPp+3xupL8QkrHWEhubKSVCzdXknErqW+k8G5hCSr8viet6zHb4+vuuFV3k1/3wqWNtHGxakJaNdS7uJHc+4UF/6Fn3RTXtsfjSloVKeXtpRuDeVVa5zbSNuGxkd5vd8rrdruwDV63262KlON6/ldCWo64NxupLM8E5zfSaoM2ZnLbntoW0VabtkknbzZ3E0FeTWuwqzrmad5JJ891YXZV0LLZTVs2Ul9J65Eubl2YHRvp/d6UYNfBqxKXVcNkxfqqRmbluKdlOXbVr74q0bbOXhfuNr57Xc7ItqY+ltk2mfexnMZtD/tcZtt0YhyLbdjO2zCLXm7nZbjlPeuiR7gW4az6NeJi4c32mvVkmO01ea63y0pp62zbCtqyaLOKbYxlNruKbVyL0bCr2K5jmc2uYrvMcgDtys8V3mv3FdZZ2mqUrutYxbJyMnGX7fPM6leVWJhzx3rlu/LT2OLvx48/vboGHovPh2sdHX98te01PO710/fThTKxxYH7DP1G09iAkDGNy9mhqQFNY9MTmsEhY6C5LBsOuUi4Mhs+O9k3+cSsbPjExIvKcbDxbBobzFJw7aAJbDKbxAazFDg6aEiwFxsOdpzAcirHWRyfSJUN+zL7Mn9m/qycuRHBp3P1wCzVOTaBTWXT2GBI9ezz7At4UY3grSb2cfqa2VfYVwsbTtX4s3GCxiGNQzpW0MhCM4VNZYPBjcw0Syr8GmxGefkthPHyO3ZDluDkirOl0C13w1KigSIMFGGiqAvFOiRlDpkcPAvlKMFRAazkg75YKULHxls2FKbnYPJYAsdx20ugvBMFzM0umVNl/uTbSqH4K58gy6Wzr3OWzr7BWQZnGZyFSyuD4y4+dnHcxXGX+rgR1IwyuU2Tg7X6yY2dlOhBiR7coSOxyWw6G8rWkEodqZRtNdw18lttZMMnqCOVOlIdh5Dp6oU4feAEgX1kugZORV2vPDs1cpbInYzc08jpE6dKng1noZhq5iyUVc2chQKrmdTCWQpnKZylcBYer1o5SyWVR6lWaQsn6CR0TsCTVTsHd75jcJbBwTxodXCRF5fB01YvzkzB1sm1TM5MSVbKtPGMVZ62RiG2I7KhClKIzVAFrWVD3bSYqjlM0DypFFMLHBL5LFxn1yoHV6ovVb9x9a0dbPhY47jOIWShDb73sm/KXSptvD2hkTCFuHBgyGBzcZNC6XGXgIp+mCPcoLiocInAVtPCQpkP7PdBBxZLPmAfDoZYdAroekIDDl5TDKHoDRg8jXN2QCkO6MTB+AlcHgyWcOoOmJEDanJASw6L57GgAxfyH0qFsY244F/jxZvSn+nEGOgMlACHvmUYXMcJOBZhRzME8CTifPml4BaPr78YnpLX30zxL78WbPGBfzAOsN2fkcznJPs5yX1O8p+TAi8DsHeJvXIiyDCQtMExMRH/YSgMNMpCJDamG07z8eA0fwNOPyPFz0npIZ9Z3ra/QGO5vOFPO3F6oEt24uh/lEw6vpUOJB4/8IghtIyJNgfnn/7Rx003D9b8v5U1LXI+N8Lj8CNiOj2stYf99bCoHrfszT6Y77UP8iHFIm5QDyMeYOMCnJmAAxjyraq5b8aiebIYsOgAlyHAxkQY+QjvTemojyzab8aifbIY4SFEXPwRt0XEXZ1woyVn71j034xF92SRSZ0Ux5ngpiZc/Gm4M81wx2L4Ziz6J4sZ+5lx1TMQyimfGV5cbv2OxfjNrv43i5rhYWa42wVuXwnlLPBgS7vbxfy9zmKcD4sazwI3tEAxKzy/CjNT0+3t9rSm4auwJx7Mk4cKb7sirKIX3xDSNAbsH3nwX5EH++ShQe6tYu2jnR3ufffljofwFXlwTx46eGA6roOHAR7GPQ/xK/LgnzwM8DC6OS9EX5cP55VvXfzxFXkIT7N1tXlelzkneJiI5KZP54x3e5HyNzNb8cniTP2c5TpnP855YS0HfHXzCFk/MFm+GZPpGXWYwxU0yp/niKbe2bXU/kORR5xZC6XgW2OQjNUac+uq1sca3b9+jVpIfaqFMRarMR7CMhHvNRmrUMRu+m2U0L+ZajQx6sAPzrexx91lnZ7Gyf6DTOlF/U2i1rGB82NswibbMtDAwBh73e70N0vzzPE0/MbiDjAOYYhx6UBTmfO57vzZ3L+i9X/kq7BF3mL5nnU4X9lcaAL7VJsL7Avsi+izVz/uknXXg8P6X5vC+oyUPyeVp6Dnm8ZEyjPiFjKMYk0yleFcvtOY9vU0Jh1vMQDcAC6/cfmXclmR7vJdFjdfX5GRt0AAviVMIwv7kPjkamGsirm7NWP9ioy8RQOmOCy/RC6f5U3WkEyZd65o/JKq9RYSmArpG9aUTE24SCqXXK+7EDr2r8hIeGOkGbyleZz4xipAK9igNu6uhzi+IiNvnrPpBzajW/DQeav3RIQI2vRxG+qUb5azf3jPZIqnfzgYg5HgTI8Gbgfue3N5fvKQ0XfxXM0DfZNfI0zMbOYop1WB7KMsnuHS+P+1uF2Ln5Hq56T2Oak/NzI/j55lGGSPCI/2wBP26A7NvPM+8/yKR688K4nWGHhcBherhe+AphIherWWNTMbJquKtwWb9D9QUkxHfUY31naIxzKs+yiK65+OblhveNYunelofEWTMpqK9zoWMv1xV4/I6ZsZw/52hrwhU76hgeGzHu6R9fMuJxTzVzxDb2GaDRY7Fnh8AjcrXGjibd4nlq/IyPXGSORxjzjuNg5sSzIwECncXsFfz1f9/cePP7/+Bdp1vPyFn5K8/Ma8z4F/Vr/0HZ05BOIbIIMC7fRFYJxwXwnmmQcBrHrLAk4fkgjGc1SBzCSTUOX3GEJd0SqRvs0A6ghszNWEaFuLkOfXGkL6ZEGo8MMFocbPF4QGP2IQmvyUgcg8PmgQ9PwWQCjyiwAhfpCk1/HztTGFBsvxQlOfMQPBxvugJ6xjgV4osEwvlFisF6qscwt1VruFLta8idzByreQZf1byLMKLqQCslBhGVmosZgsNFhSFposLBPBF4pBbOJeiknv8JH1WaHMKq1QZa1WSBVboYt1WyJ4T8mrLzgWPoUCy59CiUVQocJSqFBjQVToYi2RCPF01p73aFlXFPKsLgpF1hiFMiuNQo1FOqHBUp3QZMGOKBmW7YQci3dCgSU8oczql1BlDUyosxImdLEeRpQPVsWEHGtNQoEVJ6HEupNQYfVJqLEGJXSxskNUDtZ3hCyrPEKetR6hyIqPUGEdRaixmiKkmorQZGWFqBrWV4Q8qxZCkbULocwKhlBlHUOos5ohNFkjIGqGlQIhx3qBUGDVQCixdiBUWEEQ6ky+C11MwRMxCd+lsd0yHS/klZQXjErNCxZltQWbctuCQxluwak8N+GwSiwLeqWXBaOSzIJFCV/BprSv4FDyV3AqBUzIdOnTPFxO6WDBqEyqYFY+VbAp7Sk4lPwUnEqBEvK7fDhqgk7pUMGgpKhgVj5RsCqrKNiVWxS8lGEEHLRW7uqCTtk7waAcnmBSJk+wKJ8n2JTVExzK7QlOZfgIYbWY5xN0yvYJBuX8BLNSWIJViSzBrnSW4KWkFiHThylkQaeEkWBQ2kgwKXkkWJRCEmxKJAleSs8Q8ku6XIygVapG0CthIxiVthEsSoYINqVEBB+JEcGp9Aghw7oyk6BX6kEwKgEhmJWGEKxKRgh2pSQEpwJ9QsaDCPcFnYJ+waDQXzApASBYFVYLdgXXgo8Qm5CJwq4bZMCyMdwW9Aq6BZPiVcGiqFWwKXYVHIpgBafiWMJkFM0KOsW0go/IVjApvhUsinIFm2JdwaGIV3Aq7iXMVlGXoFfsJRgVgQlmxWGCVdGY4FCMIzgV6RAWo3hH0CnqEQyKfQSTIiDBojhIUN9YajnlUkhAWA8FBoJO/rtgkBcvmOTLCxZ59IJNfr3gkHdP2A45xoJW7rGgl5MsGOUqC2Y5zIJNbqjgkDMqOOWSEnYjx1TQyT0VfDh9glmun2CVAyjY5QYKXnIGX+Bn/Q1g2IkR \ No newline at end of file diff --git a/main.ngc b/main.ngc index a29d1bc..6c65fd2 100644 --- a/main.ngc +++ b/main.ngc @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$0de4=7<2:;<<:401210>678M>0<=6>74:2B472<8H:386?>12685654928?7?>?05913C@33:98>95:5447?032?=13<=>>1::9KPRW]]0GII28:1<25>>=G\^[YY4]GC>4>58630805><4A868F476=2H^JJ^7;CWP[LHAG81H86M?4168G6MF3:AOO<=DDBUIYKI_9:ALIHOS\LN<7N\JAUGG5>B53MC:7H:4E5230>CBMH>0IHH?4:D3<5480JO<4FE18BAC43ONY86HKRD18BAU53OO?7KK?059EAC633OL3<<5H3:EM@7=O[>1C_RAMUG38M7=N8;1B=?5F239J7<=NF@^XXLZJ3:KMR7=KL?1GII2?>79OAA:66?1GII2=>79OAA:46?1GII2;>79OAA:26?1GII29>99OAA:0294=7AKK<6Q@BTDb?ICCW=UDNXHn;MGG[0YHJ\Lj7AKK_7]LFP@f3EOOS:Q@BTD1?IO53EE<7AAHIBCO0>JR\8>0@XZ=6:NVP7YK<2F^X>84LTV0[I2JR\=UG96CZXB[6?HS_N<80B==4N131?K743G;:?6@>329M505H1:2D2;6@JTVMQO4=H<2EIYK?4P99S*43nVF?7]O]T`9SMKYE]ZCOTo5_IO]AQVHFEL;0]<5]6:PDF969>2XLN1?16:PDF949>2XLN1=16:PDF929>2XLN1;16:PDF90902XLN1950?48VBD;?7k0^JLP0^MAQCgVEIYKo4RF@\3ZIE]O;0_;5\OTP@A1=SQYOh7X]JR^TJWLDKM:1]ONm4VFCGvlpbz&BX=95YG@Fqmscu'AYTmcj?01222>P@IMxbzh| HR]bja678989=l5YG@Fqmscu'AYTmcj?01216ZUP88<0ZJOKrhtfv*NTWhdo<=>?4435?SAFL{c}i!GS^cm`5678>9:m6XHAEpjr`t(@ZUjbi>?0150[VQ79:1]KLJ}iwgq+LT@J5:5=P@IMxbzh| ISEA81869:1]KLJ}iwgq+LT@J5>5==h5YG@Fqmscu'DkohRVPaef3456Xkp6;2;WEB@woqm{%FmijPX^cg`5678Vir0<0Pru3f?SAFL{c}i!Baef\\Zgcl9:;P@IMxbzh| M`fg[]Yflm:;<=Qly=0=[wr6m2\LMI|fvdp,IdbcWQUjhi>?01]`}959:81]KLJ}iwgq+HgclVRTmij?012\g|:46Vx=h5YG@Fqmscu'DkohRVPaef3456Xkp6?2e:TDEAtn~lx$Aljk_Y]b`a6789Uhu1911d9UCDBuaoy#@okd^Z\eab789:Tot27>0d8RBGCz`|n~"Cnde][[dbc89:;Sa{{<1<11>P@IMxbzh| M`fg[]Yflm:;<=Qcuu>3:ZOI^V::j6XHAEpjr`t(EhnoSUQnde2345Yg{6;2f:TDEAtn~lx$Aljk_Y]b`a6789Usc2=>0d8RBGCz`|n~"Cnde][[dbc89:;Sua}<2<2b>P@IMxbzh| M`fg[]Yflm:;<=Qwos>7:4`<^NKO~dxjr.Ob`aY_Whno<=>?_ymq8086n2\LMI|fvdp,IdbcWQUjhi>?01]{kw:168l0ZJOKrhtfv*KflmUSSljk0123[}iu4>4:j6XHAEpjr`t(EhnoSUQnde2345Yg{632e:TDEAtn~lx$Aljk_X]b`a6789Uhu1>11d9UCDBuaoy#@okd^[\eab789:Tot2>>338RBGCz`|n~"Cnde]Z[dbc89:;Snw31?]qp4c<^NKO~dxjr.Ob`aY^Whno<=>?_b{?6;463_MJHgyes-NeabXQVkoh=>?0^az878Xz};n7[INDskuaw)JimnTURokd1234Ze~4:49=6XHAEpjr`t(EhnoSTQnde2345Ydq595Sz>e:TDEAtn~lx$Aljk_X]b`a6789Uhu1:1209UCDBuaoy#@okd^[\eab789:Tot2;>^pw5`=QOHNye{k}/Lcg`Z_Ximn;<=>Pcx>6:77<^NKO~dxjr.Ob`aY^Whno<=>?_b{?1;Yu|8o0ZJOKrhtfv*KflmURSljk0123[f;>78:7[INDskuaw)JimnTURokd1234Ze~4?4T~y?j;WEB@woqm{%FmijPY^cg`5678Vir0:0=1:TDEAtn~lx$Aljk_X]b`a6789Uhu191_sv2a>P@IMxbzh| M`fg[\Yflm:;<=Qly=:=64=QOHNye{k}/Lcg`Z_Ximn;<=>Pcx>;:Zts9o1]KLJ}iwgq+HgclVSTmij?012\hpr;878>7[INDskuaw)JimnTURokd1234Zjr|5:5SD@Y_13e?SAFL{c}i!Baef\]Zgcl9:;Pxnp?5;7a3_MJHgyes-NeabXQVkoh=>?0^zlv9499o1]KLJ}iwgq+HgclVSTmij?012\|jt;;7;m7[INDskuaw)JimnTURokd1234Z~hz5>5=k5YG@Fqmscu'DkohRWPaef3456Xpfx793?i;WEB@woqm{%FmijPY^cg`5678Vrd~1811g9UCDBuaoy#@okd^[\eab789:Ttb|37?3e?SAFL{c}i!Baef\]Zgcl9:;Pxnp?=;7d3_MJHgyes-Ngjsi|Vbd~dtPcx>3:4e<^NKO~dxjr.O`kphsWaeyewQly=3=5c=QOHNye{k}/LalqkrX`fxbvRmv<0<\vq7d3_MJHgyes-Ngjsi|Vbd~dtPcx>1:4`<^NKO~dxjr.O`kphsWaeyewQly=0=[wr6k2\LMI|fvdp,Ifirf}Uccgu_b{?7;7a3_MJHgyes-Ngjsi|Vbd~dtPcx>0:Zts9j1]KLJ}iwgq+Heh}g~Tdb|fz^az8186n2\LMI|fvdp,Ifirf}Uccgu_b{?0;Yu|8i0ZJOKrhtfv*Kdg|dSea}i{]`}9399o1]KLJ}iwgq+Heh}g~Tdb|fz^az808Xz};h7[INDskuaw)JkfexRf`rhx\g|:168l0ZJOKrhtfv*Kdg|dSea}i{]`}909W{~:o6XHAEpjr`t(Eje~byQgosky[f;?7;m7[INDskuaw)JkfexRf`rhx\g|:06Vx=n5YG@Fqmscu'DidyczPhnpj~Ze~414:j6XHAEpjr`t(Eje~byQgosky[f;07UyxP@IMxbzh| MbmvjqYog{cqSua}<1<2`>P@IMxbzh| MbmvjqYog{cqSua}<0<2`>P@IMxbzh| MbmvjqYog{cqSua}<3<2`>P@IMxbzh| MbmvjqYog{cqSua}<2<2`>P@IMxbzh| MbmvjqYog{cqSua}<5<2`>P@IMxbzh| MbmvjqYog{cqSua}<4<2`>P@IMxbzh| MbmvjqYog{cqSua}<7<2`>P@IMxbzh| MbmvjqYog{cqSua}<6<2`>P@IMxbzh| MbmvjqYog{cqSua}<9<2`>P@IMxbzh| MbmvjqYog{cqSua}<8<14>P@IMxbzh| MbmvjqYog{cqSua}<8<\vq7e3_MJHgyes-Ngjsi|V|n~yQly=2=5g=QOHNye{k}/LalqkrX~lxSnw31?3f?SAFL{c}i!BcnwmpZpbz}Uhu1?1_sv2f>P@IMxbzh| MbmvjqYqm{~Tot2=>0g8RBGCz`|n~"Clotlw[scu|Vir0?0Pru3a?SAFL{c}i!BcnwmpZpbz}Uhu1=11d9UCDBuaoy#@m`uov\r`tsWjs7?3Q}t0`8RBGCz`|n~"Clotlw[scu|Vir090>e:TDEAtn~lx$Anaznu]uawrXkp6?2R|{1c9UCDBuaoy#@m`uov\r`tsWjs793?j;WEB@woqm{%Fob{at^tfvqYdq5?5Sz>b:TDEAtn~lx$Anaznu]uawrXkp6=26XHAEpjr`t(Eje~byQyesv\hpr;87UBB[Q?1b9UCDBuaoy#@m`uov\r`tsWqey0=0>c:TDEAtn~lx$Anaznu]uawrXpfx7=3?l;WEB@woqm{%Fob{at^tfvqYg{692::Zts:h1]KLJ}iwgq+HurjVRTmij|uc2345Yg{6?295YG@Fqmscu'Dy~nRVPaefpqg6789Usc29>0310>P@IMxbzh| Mrwa[]Yflmy~n=>?0^zlv919988?7[INDskuaw)J{|hTTRokdrwa4567Wqey050>1378RBGCz`|n~"C|uc][[dbc{|h;<=>Pxnp?=;769;>0ZJOKrhtfv*Kt}kUSSljkst`3456Xpfx753?=209UCDBuaoy#@}zb^[\eabt}k:;<=Qly=2=61=QOHNye{k}/LqvfZ_Ximnxyo>?01]`}969W{~9=6XHAEpjr`t(EziSTQndeqvf5678Vir0<0=4:TDEAtn~lx$A~{m_X]b`aurj9:;3Q}t338RBGCz`|n~"C|uc]Z[dbc{|h;<=>Pcx>0:77<^NKO~dxjr.OpqgY^Whnoxl?012\g|:36;;0ZJOKrhtfv*Kt}kURSljkst`3456Xkp6>2?:4VFCGvlpbz&GxyoQV_`fgwpd789:Tot2:>^pw64=QOHNye{k}/LqvfZ_Ximnxyo>?01]`}909:81]KLJ}iwgq+HurjVSTmij|uc2345Ydq5=5><5YG@Fqmscu'Dy~nRWPaefpqg6789Uhu161239UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>0:7><^NKO~dxjr.OpqgY^Whnoxl?012\hpr;;7UBB[Q?239UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>7:7><^NKO~dxjr.OpqgY^Whnoxl?012\hpr;<7UBB[Q?239UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>5:7><^NKO~dxjr.OpqgY^Whnoxl?012\hpr;>7UBB[Q?239UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>4:7><^NKO~dxjr.OpqgY^Whnoxl?012\hpr;?7UBB[Q?239UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>;:7><^NKO~dxjr.OpqgY^Whnoxl?012\hpr;07UBB[Q?239UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>::7><^NKO~dxjr.OpqgY^Whnoxl?012\hpr;17UBB[Q?239UCDBuaoy#@}zb^[\eabt}k:;<=Qwos>3:74<^NKO~dxjr.OpqgY^Whnoxl?012\|jt;97897[INDskuaw)J{|hTURokdrwa4567Wqey0?0=2:TDEAtn~lx$A~{m_X]b`aurj9:;?01]{kw:36;80ZJOKrhtfv*Kt}kURSljkst`3456Xpfx793<=;WEB@woqm{%FxlPY^cg`vse89:;Sua}<7<16>P@IMxbzh| Mrwa[\Yflmy~n=>?0^zlv919:;1]KLJ}iwgq+HurjVSTmij|uc2345Yg{632?<4VFCGvlpbz&GxyoQV_`fgwpd789:Ttb|39?a8RBGCz`|n~"@=c:TDEAtn~lx$B:m4VFCGvlpbz&D2==5YG@Fqmscu'[MI090>0:TDEAtn~lx$^JL37?d8RBGCz`|n~"\HB^6e?SAFL{c}i!]GC]456=QOHNye{k}/Sgpqir;87;?7[INDskuaw)Umzgx1>11018RBGCz`|n~"\jstnw8486<2\LMI|fvdp,V`urd}6:2068RBGCz`|n~"\jstnw86869:1]KLJ}iwgq+Wct}e~783?;;WEB@woqm{%Yi~{ct=6=545<^NKO~dxjr.Pfwpjs4<4:86XHAEpjr`t(Zly~`y2:>030?SAFL{c}i!]erwop9099=1]KLJ}iwgq+Wct}e~7:3?>3:TDEAtn~lx$^h}zlu>4:42<^NKO~dxjr.Pfwpjs4>4:=>5YG@Fqmscu'[oxyaz38?37?SAFL{c}i!]erwop9>99890ZJOKrhtfv*Tb{|f040>4:TDEAtn~lx$^h}zlu>::4e<^NKO~dxjr.TP51=QOHNye{k}/WQ\ekb789::46XHAEpjr`t(^ZUjbi>?01]PS5d<^NKO~dxjr.U20>P@IMxbzh| W^llp56798o0ZJOKrhtfv*^;87o0ZJOKrhtfv*^;97o0ZJOKrhtfv*^;:7o0ZJOKrhtfv*^;;7o0ZJOKrhtfv*^;<7o0ZJOKrhtfv*^;=7o0ZJOKrhtfv*^;>7o0ZJOKrhtfv*^;?7o0ZJOKrhtfv*^;07o0ZJOKrhtfv*^;17n0ZJOKrhtfv*^X8m1]KLJ}iwgq+]Y6l2\LMI|fvdp,\Z4c3_MJHgyes-[[6b<^NKO~dxjr.Z\0a=QOHNye{k}/Y]6`>P@IMxbzh| X^4g?SAFL{c}i!W_6f8RBGCz`|n~"VP8e9UCDBuaoy#UQ6179UCDBuaoy#UQnde2345:768<0ZJOKrhtfv*^Ximn;<=>31?35?SAFL{c}i!W_`fg45674;4::6XHAEpjr`t(PVkoh=>?0=1=53=QOHNye{k}/Y]b`a67896?2<84VFCGvlpbz&RTmij?012?1;713_MJHgyes-[[dbc89:;0;0>6:TDEAtn~lx$TRokd12349199?1]KLJ}iwgq+]Yflm:;<=27>048RBGCz`|n~"VPaef3456;17;27[INDskuaw)_Whnoxl?012?0;7>3_MJHgyes-[[dbc{|h;<=>35?3:?SAFL{c}i!W_`fgwpd789:7:3?6;WEB@woqm{%SSljkst`3456;?7;27[INDskuaw)_Whnoxl?012?<;7>3_MJHgyes-[[dbc{|h;<=>39?35?SAFL{c}i!W_`lg456798;::6XHAEpjr`t(PVkeh=>?003653=QOHNye{k}/Y]bja6789;8:<84VFCGvlpbz&RTmcj?0122147?3_MJHgyes-[[dhc89:;=8?P10:8RBGCz`|n~"VPaof34566=8U9=85YG@Fqmscu'QUjbi>?01145<=QOHNye{k}/Y]bja67899WZ];=85YG@Fqmscu'QUjbi>?01465<=QOHNye{k}/Y]bja6789<>S^Y?149UCDBuaoy#UQnne2345?4901]KLJ}iwgq+]Yffm:;<=77_RU3a>P@IMxbzh| Y=2=a>P@IMxbzh| Y=3=a>P@IMxbzh| Y=0=a>P@IMxbzh| Y=1=a>P@IMxbzh| Y=6=a>P@IMxbzh| Y=7=a>P@IMxbzh| Y=4=a>P@IMxbzh| Y=5=a>P@IMxbzh| Y=:=a>P@IMxbzh| Y=;=`>P@IMxbzh| Y^2g?SAFL{c}i!V_0f8RBGCz`|n~"WP2e9UCDBuaoy#TQ?<1<22>P@IMxbzh| Y^cg`56785;5=;5YG@Fqmscu'PUjhi>?01>1:40<^NKO~dxjr.[\eab789:7?3?9;WEB@woqm{%RSljk01238186>2\LMI|fvdp,]Zgcl9:;<1;1179UCDBuaoy#TQnde2345:168<0ZJOKrhtfv*_Ximn;<=>37?35?SAFL{c}i!V_`fg4567414::6XHAEpjr`t(QVkoh=>?0=;=5<=QOHNye{k}/X]b`aurj9:;<1>1189UCDBuaoy#TQndeqvf56785;5=45YG@Fqmscu'PUjhi}zb1234949901]KLJ}iwgq+\Yflmy~n=>?0=1=5<=QOHNye{k}/X]b`aurj9:;<1:1189UCDBuaoy#TQndeqvf56785?5=45YG@Fqmscu'PUjhi}zb1234909901]KLJ}iwgq+\Yflmy~n=>?0=5=5<=QOHNye{k}/X]b`aurj9:;<161189UCDBuaoy#TQndeqvf5678535=?5YG@Fqmscu'aeyew2?>008RBGCz`|n~"f`rhx?5;753_MJHgyes-kkwo}4;4:>6XHAEpjr`t(`fxbv1=1139UCDBuaoy#ea}i{>7:44<^NKO~dxjr.jlvl|;=7;97[INDskuaw)og{cq0;0>2:TDEAtn~lx$db|fz=5=57=QOHNye{k}/imqm:?6880ZJOKrhtfv*nhz`p753?>;WEB@woqm{%ccgu_132?SAFL{c}i!gosky[4763_MJHgyes-kkwo}W;;:7[INDskuaw)og{cqS>?>;WEB@woqm{%ccgu_532?SAFL{c}i!gosky[0763_MJHgyes-kkwo}W?;:7[INDskuaw)og{cqS:?>;WEB@woqm{%ccgu_932?SAFL{c}i!gosky[<763_MJHgyes-uawr;87;:7[INDskuaw)qm{~7=3?>;WEB@woqm{%}iz32?32?SAFL{c}i!yesv?7;763_MJHgyes-uawr;<7;:7[INDskuaw)qm{~793?>;WEB@woqm{%}iz36?32?SAFL{c}i!yesv?3;763_MJHgyes-uawr;07;:7[INDskuaw)qm{~753??;WEB@woqm{%}izP0028RBGCz`|n~"xjru]255=QOHNye{k}/wgqpZ4682\LMI|fvdp,r`tsW:;;7[INDskuaw)qm{~T8<>4VFCGvlpbz&|n~yQ:119UCDBuaoy#{k}t^424>P@IMxbzh| vdpw[2773_MJHgyes-uawrX08:0ZJOKrhtfv*pbz}U2=45YG@Fqmscu'oyxRmbp^gr45679h1]KLJ}iwgq+scu|Vif|Rk~012354c<^NKO~dxjr.tfvqYdeyUn}=>?00]PS57c3_MJHgyes-uawrXkdzTi|>?01]PS57>3_MJHgyes-uawrXkdzTi|>?003a?SAFL{c}i!yesv\ghvXmx:;<1c9UCDBuaoy#{k}t^antZcv89::>??m;WEB@woqm{%}izPclr\at67889=56XFEV]W]UC53_Y<7[]POCWE5a=_AECET VKB!2-5%US]K*;"<.NSBKJ1>^HZJS=7U][LH@4?]USWNDO;6V\T^T@G3=_lkUBh<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl0?]us02ce~jl34?:8mkt`j5=5;6garf`\02=nf{miS:j4iopdfZgil9:;<<>4iopdfZgil9:;<<<;119jjwaeWhdo<=>?155e?lhuokUjbi>?013655=nf{miSl`k0123534692ce~jlPaof34566>;;:?6garf`\ekb789:::?Qi5028mkt`jVkeh=>?032254=nf{miSl`k012365769:1bbim_`lg4567:9;Tj8??;hlqcgYffm:;<=<>9g9jjwaeWhdo<=>?34d8mkt`jVkeh=>?047e?lhuokUjbi>?0146b>oiznhTmcj?0124546454:0kn688=9zl2a0<63g;n:784$0g7>4ba3tY9>7?j7;4e>454:0kn688:5:f2ba<7280:w^<<:0g4>3`=9:995lk5570:?sR??3:1=7?5113xW75=9l=1:k4>320:e`<2>;30(4`c3S;j6?u>4;30>xo583:17b=7:188k4bc2900c:j50;&46?1d3g=:6=54o6`94?"0:3=h7c9>:098k2g=83.<>79l;o52>7=31<7*82;5`?k162:10c:650;&46?1d3g=:6954o6594?"0:3=h7c9>:498k20=83.<>79l;o52>3=?1<7*82;5`?k162>10c9o50;&46?2>3g=:6=54o5:94?"0:3>27c9>:098k11=83.<>7:6;o52>7=3g=:6954o5694?"0:3>27c9>:498k15=83.<>7:6;o52>3=10n5<7s-236>94H0g2?M>>3f9=6=44}c3f7?6=93:14?:1y'<=<392B:i<5G889'7c<73`8?6=44i2494?=h?:0;66sm1d`94?5=83:p(565409K5`7<@130(>h50:k10?6=3`9=6=44o6194?=zjji1<7=50;2x =>=9l:0D;I::?l7a2900e8=50;9l2f<722wioo4?:283>5}#010:i=5G1d38L=?>i1k3:17plmf;290?6=8r.347;j;I3f5>N?12c:j7>5;h06>5<>{ekh0;6>4?:1y'<=<6m91C=h?4H9;8m4`=831b9>4?::m5g?6=3thih7>54;294~"?03?n7E?j1:J;=>o6n3:17d<::188m=0=831d:n4?::ag<<72:0;6=u+8982a5=O9l;0D574i0d94?=n=:0;66a9c;29?xdej3:187>50z&;6=44i9494?=h>j0;66smc983>6<729q/454>e19K5`7<@130e5;|`a=?6=<3:1f;29?l422900e5850;9l2f<722wio:4?:283>5}#010:i=5G1d38L=?>i1k3:17plm7;290?6=8r.347;j;I3f5>N?12c:j7>5;h06>5<>{ek?0;6>4?:1y'<=<6m91C=h?4H9;8m4`=831b9>4?::m5g?6=3thi97>54;294~"?03?n7E?j1:J;=>o6n3:17d<::188m=0=831d:n4?::ag0<72:0;6=u+8982a5=O9l;0D574i0d94?=n=:0;66a9c;29?xde;3:187>50z&;6=44i9494?=h>j0;66smc583>6<729q/454>e19K5`7<@130e5;|`a5?6=<3:1f;29?l422900e5850;9l2f<722wio>4?:283>5}#010:i=5G1d38L=?>i1k3:17plm0;290?6=8r.347;j;I3f5>N?12c:j7>5;h06>5<>{eio0;6>4?:1y'<=<6m91C=h?4H9;8m4`=831b9>4?::m5g?6=3thji7>53;294~"?03;n<6F>e09K<<=n9o0;66g:3;29?j0d2900qoo<:187>5<7s-2368k4H0g2?M>>3`;m6=44i3794?=n0?0;66a9c;29?xdfl3:1?7>50z&;?7>5;n4`>5<?2;I::?l7a2900e?;50;9j<3<722e=o7>5;|`bg?6=;3:1N6m81C445f1g83>>o2;3:17b8l:188ygg6290?6=4?{%:;>0c<@8o:7E66;h3e>5<>i1k3:17plnb;297?6=8r.347?j0:J2a4=O001b=k4?::k67?6=3f5<3290;w)67:4g8L4c63A227d?i:188m73=831b4;4?::m5g?6=3thjm7>53;294~"?03;n<6F>e09K<<=n9o0;66g:3;29?j0d2900qo7i:187>5<7s-2368k4H0g2?M>>3`;m6=44i3794?=n0?0;66a9c;29?xdf13:1?7>50z&;?7>5;n4`>5<?2;I::?l7a2900e?;50;9j<3<722e=o7>5;|`bN6m81C445f1g83>>o2;3:17b8l:188yg?e290?6=4?{%:;>0c<@8o:7E66;h3e>5<>i1k3:17pln7;297?6=8r.347?j0:J2a4=O001b=k4?::k67?6=3f5<3290;w)67:4g8L4c63A227d?i:188m73=831b4;4?::m5g?6=3thj:7>53;294~"?03;n<6F>e09K<<=n9o0;66g:3;29?j0d2900qo77:187>5<7s-2368k4H0g2?M>>3`;m6=44i3794?=n0?0;66a9c;29?xd68>0;6>4?:1y'<=<6m91C=h?4H9;8m4`=831b9>4?::m5g?6=3th:<;4?:283>5}#010:i=5G1d38L=?>i1k3:17plia;290?6=8r.347;j;I3f5>N?12c:j7>5;h06>5<>{e99?1<7=50;2x =>=9l:0D;I::?l7a2900e8=50;9l2f<722wij54?:583>5}#010>i6F>e09K<<=n9o0;66g=5;29?l>12900c;m50;9~f46329086=4?{%:;>4c73A;n=6F79:k2b?6=3`?86=44o7a94?=zjo<1<7:50;2x =>==l1C=h?4H9;8m4`=831b>84?::k;2?6=3fN6m81C445f1g83>>o2;3:17b8l:188yg`3290?6=4?{%:;>0c<@8o:7E66;h3e>5<>i1k3:17pl>0383>6<729q/454>e19K5`7<@130e5;|`e6?6=<3:1f;29?l422900e5850;9l2f<722wi==?50;194?6|,121=h>4H0g2?M>>3`;m6=44i4194?=h>j0;66smf183>1<729q/454:e:J2a4=O001b=k4?::k11?6=3`2=6=44o7a94?=zj8:;6=4<:183!>?28o;7E?j1:J;=>o6n3:17d;<:188k3e=831vnhk50;694?6|,1219h5G1d38L=?>o?>3:17b8l:188yg`a29086=4?{%:;>4c73A;n=6F79:k2b?6=3`?86=44o7a94?=zjli1<7:50;2x =>==l1C=h?4H9;8m4`=831b>84?::k;2?6=3f5<4290;w)67:0g3?M7b92B356g>f;29?l342900c;m50;9~f`d=83>1<7>t$9:91`=O9l;0D574i0d94?=n:<0;66g76;29?j0d2900qok6:180>5<7s-236N?12c:j7>5;h70>5<N6m81C445f1g83>>o5=3:17d69:188k3e=831vnh950;194?6|,121=h>4H0g2?M>>3`;m6=44i4194?=h>j0;66smd`83>1<729q/454:e:J2a4=O001b=k4?::k11?6=3`2=6=44o7a94?=zjl<1<7=50;2x =>=9l:0D;I::?l7a2900e8=50;9l2f<722wih54?:583>5}#010>i6F>e09K<<=n9o0;66g=5;29?l>12900c;m50;9~f`3=8391<7>t$9:95`6<@8o:7E66;h3e>5<>{el?0;694?:1y'<=<2m2B:i<5G889j5c<722c997>5;h:5>5<N6m81C445f1g83>>o5=3:17d69:188k3e=831vnh=50;194?6|,121=h>4H0g2?M>>3`;m6=44i4194?=h>j0;66smd383>1<729q/454:e:J2a4=O001b=k4?::k11?6=3`2=6=44o7a94?=zjl81<7=50;2x =>=9l:0D;I::?l7a2900e8=50;9l2f<722wih=4?:583>5}#010>i6F>e09K<<=n9o0;66g=5;29?l>12900c;m50;9~f`7=8391<7>t$9:95`6<@8o:7E66;h3e>5<>{ekl0;694?:1y'<=<2m2B:i<5G889j5c<722c997>5;h:5>5<N6m81C445f1g83>>o5=3:17d69:188k3e=831vn<==:180>5<7s-236N?12c:j7>5;h70>5<5<4290;w)67:0g3?M7b92B356g>f;29?l342900c;m50;9~f47d290?6=4?{%:;>0c<@8o:7E66;h3e>5<>i1k3:17pl>3183>6<729q/454>e19K5`7<@130e5;|`25g<72=0;6=u+8986a>N6m81C445f1g83>>o5=3:17d69:188k3e=831vn<5<7s-236N?12c:j7>5;h70>5<5<3290;w)67:4g8L4c63A227d?i:188m73=831b4;4?::m5g?6=3th:>h4?:283>5}#010:i=5G1d38L=?>i1k3:17pl>1983>1<729q/454:e:J2a4=O001b=k4?::k11?6=3`2=6=44o7a94?=zj88o6=4<:183!>?28o;7E?j1:J;=>o6n3:17d;<:188k3e=831vn5<7s-2368k4H0g2?M>>3`;m6=44i3794?=n0?0;66a9c;29?xd6:j0;6>4?:1y'<=<6m91C=h?4H9;8m4`=831b9>4?::m5g?6=3th:=;4?:583>5}#010>i6F>e09K<<=n9o0;66g=5;29?l>12900c;m50;9~f44e29086=4?{%:;>4c73A;n=6F79:k2b?6=3`?86=44o7a94?=zj8;?6=4;:183!>?2;I::?l7a2900e?;50;9j<3<722e=o7>5;|`26d<72:0;6=u+8982a5=O9l;0D574i0d94?=n=:0;66a9c;29?xd69;0;694?:1y'<=<2m2B:i<5G889j5c<722c997>5;h:5>5<5<4290;w)67:0g3?M7b92B356g>f;29?l342900c;m50;9~f477290?6=4?{%:;>0c<@8o:7E66;h3e>5<>i1k3:17pl>bg83>1<729q/454=f:J2a4=O001b=o4?::k10?6=3`=?6=44o6194?=zj8hn6=4;:183!>?2;l0D;I::?l7e2900e?:50;9j31<722e5;|`2fa<72=0;6=u+8981b>N6m81C445f1c83>>o5<3:17d9;:188k25=831vn5<7s-236?h4H0g2?M>>3`;i6=44i3694?=n?=0;66a83;29?xd6jk0;694?:1y'<=<5n2B:i<5G889j5g<722c987>5;h57>5<91<75rb0`b>5<3290;w)67:3d8L4c63A227d?m:188m72=831b;94?::m47?6=3th:n44?:583>5}#0109j6F>e09K<<=n9k0;66g=4;29?l132900c:=50;9~f4d?290?6=4?{%:;>7`<@8o:7E66;h3a>5<1<75f7583>>i0;3:17pl>b683>1<729q/454=f:J2a4=O001b=o4?::k10?6=3`=?6=44o6194?=zj8h=6=4;:183!>?2;l0D;I::?l7e2900e?:50;9j31<722e5;|`2`6<72<0;6=u+89804>N6m81C445f1c83>>o6m3:17d<;:188m22=831d;>4?::a5a4=83?1<7>t$9:975=O9l;0D574i0`94?=n9l0;66g=4;29?l132900c:=50;9~f4b6290>6=4?{%:;>66<@8o:7E66;h3a>5<>o0<3:17b9<:188yg7c83:197>50z&;5;h07>5<>1<75`7283>>{e9jo1<7;50;2x =>=;91C=h?4H9;8m4d=831b=h4?::k10?6=3`=?6=44o6194?=zj8io6=4::183!>?2::0D;I::?l7e2900e5;n50>5<55;294~"?039;7E?j1:J;=>o6j3:17d?j:188m72=831b;94?::m47?6=3th:oo4?:483>5}#0108<6F>e09K<<=n9k0;66g>e;29?l432900e::50;9l36<722wi=no50;794?6|,121?=5G1d38L=?>o5<3:17d9;:188k25=831vn<78:187>5<7s-236?k4H0g2?M>>3`;i6=44i0g94?=n:=0;66a83;29?xd61?0;694?:1y'<=<5m2B:i<5G889j5g<722c:i7>5;h07>5<91<75rb0;6>5<3290;w)67:3g8L4c63A227d?m:188m4c=831b>94?::m47?6=3th:594?:583>5}#0109i6F>e09K<<=n9k0;66g>e;29?l432900c:=50;9~f4?4290?6=4?{%:;>7c<@8o:7E66;h3a>5<>i0;3:17pl>9383>1<729q/454=e:J2a4=O001b=o4?::k2a?6=3`8?6=44o6194?=zj83:6=4;:183!>?2;o0D;I::?l7e2900e5;|`2=5<72=0;6=u+8981a>N6m81C445f1c83>>o6m3:17d<;:188k25=831vn<6i:187>5<7s-236?k4H0g2?M>>3`;i6=44i0g94?=n:=0;66a83;29?xd60l0;694?:1y'<=<5m2B:i<5G889j5g<722c:i7>5;h07>5<91<75rb045>5<3290;w)67:3g8L4c63A227d?m:188m4c=831b>94?::m47?6=3th::84?:583>5}#0109i6F>e09K<<=n9k0;66g>e;29?l432900c:=50;9~f403290?6=4?{%:;>7c<@8o:7E66;h3a>5<>i0;3:17pl>6283>1<729q/454=e:J2a4=O001b=o4?::k2a?6=3`8?6=44o6194?=zj8<96=4;:183!>?2;o0D;I::?l7e2900e5;|`224<72=0;6=u+8981a>N6m81C445f1c83>>o6m3:17d<;:188k25=831vn<8?:187>5<7s-236?k4H0g2?M>>3`;i6=44i0g94?=n:=0;66a83;29?xd6=o0;694?:1y'<=<5m2B:i<5G889j5g<722c:i7>5;h07>5<91<75rb07f>5<3290;w)67:3g8L4c63A227d?m:188m4c=831b>94?::m47?6=3th:9i4?:583>5}#0109i6F>e09K<<=n9k0;66g>e;29?l432900c:=50;9~f42b290?6=4?{%:;>7`<@8o:7E66;h3a>5<1<75f7583>>i0;3:17pl>3983>6<729q/454=d:J2a4=O001b=o4?::k10?6=3f=86=44}c:a>5<3290;w)67:3d8L4c63A227d?m:188m72=831b;94?::m47?6=3th:9<4?:583>5}#0109j6F>e09K<<=n9k0;66g=4;29?l132900c:=50;9~f4ca290>6=4?{%:;>0d<@8o:7E66;%1e>d=n;k0;66gk50;9l2f<722wi=k950;794?6|,1219o5G1d38L=?<,:l1=6gj50;9j7`<722e=o7>5;|`2b=<72<0;6=u+8986f>N6m81C445+3g801>o4j3:17d=l:188m6b=831b?h4?::m5g?6=3th:j44?:483>5}#010>n6F>e09K<<=#;o0o7d=m:188m6e=831b?i4?::k0a?6=3fm50;9j7a<722c8i7>5;n4`>5<55;294~"?03?i7E?j1:J;=>"4n3k0e>l50;9j7f<722c8h7>5;h1f>5<5<2290;w)67:4`8L4c63A227)=i:99j7g<722c8o7>5;h1g>5<>{e9o<1<7:50;2x =>==01C=h?4H9;8 6`=?2c8n7>5;h1`>5<>{e99h1<7:50;2x =>==01C=h?4H9;8 6`=9>1b?o4?::k0g?6=3`9o6=44o7a94?=zj8:m6=4::183!>?2;I::?!5a28n0e>l50;9j7f<722c8h7>5;h1f>5<5<4290;w)67:458L4c63A227)=i:078m6d=831b?n4?::m5g?6=3th:h94?:483>5}#010>n6F>e09K<<=#;o0>7d=m:188m6e=831b?i4?::k0a?6=3f?2;I::?!5a2;1b?o4?::k0g?6=3`9o6=44i2g94?=h>j0;66sm11f94?2=83:p(565589K5`7<@130(>h5179j7g<722c8o7>5;h1g>5<h5f:k0f?6=3`9h6=44i2f94?=n;l0;66a9c;29?xd6l<0;684?:1y'<=<2j2B:i<5G889'7c<63`9i6=44i2a94?=n;m0;66gN?12.8j7==;h1a>5<>i1k3:17pl>7983>0<729q/454:b:J2a4=O001/?k4m;h1a>5<>o4m3:17b8l:188yg5>29096=4?{%:;>3b<@8o:7E66;h1b>5<5<5290;w)67:7f8L4c63A227d=n:188k3e=831vn5;50;094?6|,121:i5G1d38L=?>{e0=0;6?4?:1y'<=<1l2B:i<5G889j7d<722e=o7>5;|`;7?6=:3:15<7s-236;j4H0g2?M>>3`9j6=44o7a94?=zj1;1<7<50;2x =>=>m1C=h?4H9;8m6g=831d:n4?::a<5<72;0;6=u+8985`>N6m81C445f3`83>>i1k3:17pl8f;296?6=8r.3478k;I3f5>N?12c8m7>5;n4`>5<?2?n0D;I::?l5f2900c;m50;9~f04=8381<7>t$9:92a=O9l;0D574i2c94?=h>j0;66sm5083>7<729q/4549d:J2a4=O001b?l4?::m5g?6=3th><7>52;294~"?03o4i3:17b8l:188yg2a29096=4?{%:;>3b<@8o:7E66;h1b>5<o50;9l2f<722wi8i4?:383>5}#010=h6F>e09K<<=n;h0;66a9c;29?xd3k3:1>7>50z&;5<5290;w)67:7f8L4c63A227d=n:188k3e=831vn<=6:187>5<7s-236>?4H0g2?M>>3`;i6=44i3694?=n0?0;66a83;29?xdd83:1>7>50z&;>{ejl0;6?4?:1y'<=<2>2B:i<5G889'7c==?1C=h?4H9;8 6`=k2c8n7>5;n4`>5<?2<<0D;I::?!5a2j1b?o4?::m5g?6=3thi47>52;294~"?03?=7E?j1:J;=>"4n3i0e>l50;9l2f<722win;4?:383>5}#010>:6F>e09K<<=#;o0h7d=m:188k3e=831vno:50;094?6|,1219;5G1d38L=?<,:l1o6g5<7s-236884H0g2?M>>3-9m6n5f3c83>>i1k3:17pl6e;296?6=8r.347;9;I3f5>N?12.8j7m4i2`94?=h>j0;66sm9b83>7<729q/454:6:J2a4=O001/?k4l;h1a>5<h5c:k0f?6=3f5<5290;w)67:448L4c63A227)=i:b9j7g<722e=o7>5;|`e=?6=:3:1N6m81C445+3g8`?l5e2900c;m50;9~fc3=8381<7>t$9:913=O9l;0D574$2d9g>o4j3:17b8l:188yg`429096=4?{%:;>00<@8o:7E66;%1e>f=n;k0;66a9c;29?xda93:1>7>50z&;>{emo0;6?4?:1y'<=<2>2B:i<5G889'7c==?1C=h?4H9;8 6`=k2c8n7>5;n4`>5<?2<<0D;I::?!5a2j1b?o4?::m5g?6=3thon7>52;294~"?03?=7E?j1:J;=>"4n3i0e>l50;9l2f<722wih44?:383>5}#010>:6F>e09K<<=#;o0h7d=m:188k3e=831vni950;094?6|,1219;5G1d38L=?<,:l1o6g5<7s-236884H0g2?M>>3-9m6n5f3c83>>i1k3:17plk3;296?6=8r.347;9;I3f5>N?12.8j7m4i2`94?=h>j0;66smd083>7<729q/454:6:J2a4=O001/?k4l;h1a>5<h5c:k0f?6=3ft$9:913=O9l;0D574$2d9g>o4j3:17b8l:188yg76;3:1>7>50z&;>{e98;1<7<50;2x =>==?1C=h?4H9;8 6`=k2c8n7>5;n4`>5<52;294~"?03?=7E?j1:J;=>"4n3i0e>l50;9l2f<722wiil4?:383>5}#010>:6F>e09K<<=#;o0h7d=m:188k3e=831vn<;=:186>5<7s-2368l4H0g2?M>>3-9m6h5f3c83>>o4k3:17d=k:188m6c=831d:n4?::a52`=83?1<7>t$9:91g=O9l;0D574$2d96==n;k0;66gk50;9l2f<722wi=5=50;794?6|,1219o5G1d38L=?<,:l1=6gj50;9j7`<722e=o7>5;|`:5?6==3:1==k1C=h?4H9;8 6`=92c8n7>5;h1`>5<>i1k3:17pl>0b83>0<729q/454:b:J2a4=O001/?k4>0:k0f?6=3`9h6=44i2f94?=n;l0;66a9c;29?xd6?m0;684?:1y'<=<2j2B:i<5G889'7c<692c8n7>5;h1`>5<>i1k3:17pl>8183>0<729q/454:b:J2a4=O001/?k4=9:k0f?6=3`9h6=44i2f94?=n;l0;66a9c;29?xd?m3:187>50z&;3A;n=6F79:&0b?4d3`9i6=44i2a94?=n;m0;66a9c;29?xd6lk0;684?:1y'<=<2j2B:i<5G889'7c<33`9i6=44i2a94?=n;m0;66gN?12.8j75<>i1k3:17pl>7c83>0<729q/454:b:J2a4=O001/?k4=7:k0f?6=3`9h6=44i2f94?=n;l0;66a9c;29?xd6?j0;684?:1y'<=<2j2B:i<5G889'7c<5?2c8n7>5;h1`>5<>i1k3:17pl=1;296?6=8r.347?6;I3f5>N?12c8m7>5;n4`>5<?2=:0D;I::?l5f2900c;m50;9~fd3=8381<7>t$9:905=O9l;0D574i2c94?=h>j0;66smfe83>7<729q/454;0:J2a4=O001b?l4?::m5g?6=3thoj7>52;294~"?03>;7E?j1:J;=>o4i3:17b8l:188yg7503:1>7>50z&;N?12c8m7>5;n4`>5<7>52;294~"?03>;7E?j1:J;=>o4i3:17b8l:188yg7583:1>7>50z&;N?12c8m7>5;n4`>5<55;294~"?03?i7E?j1:J;=>"4n38j7d=m:188m6e=831b?i4?::k0a?6=3fj50;9j7`<722e=o7>5;|`2b0<72=0;6=u+8986b>N6m81C445f3c83>>o4k3:17d69:188k3e=831vn4;50;794?6|,1219o5G1d38L=?<,:l1=n5f3c83>>o4k3:17d=k:188m6c=831d:n4?::a=3<72<0;6=u+8986f>N6m81C445+3g826>o4j3:17d=l:188m6b=831b?h4?::m5g?6=3th2;7>54;294~"?03?m7E?j1:J;=>o4j3:17d=l:188m=0=831d:n4?::a5a1=83>1<7>t$9:91d=O9l;0D574$2d96g=n;k0;66g0g<@8o:7E66;%1e>64>o4l3:17b;;:188yg7ci3:197>50z&;>o4l3:17d=j:188k02=831vn5<7s-236864H0g2?M>>3-9m6?84i2`94?=n;j0;66a:4;29?xd6?>0;694?:1y'<=<2i2B:i<5G889'7c<4:2c8n7>5;h1`>5<>{e9>31<7850;2x =>==j1C=h?4H9;8 6`=911b?o4?::k0g?6=3`9o6=44i2g94?=h==0;66a9c;29?xd6?l0;684?:1y'<=<2l2B:i<5G889'7c<4<2c8n7>5;h1`>5<>i2<3:17pl>8083>0<729q/454:d:J2a4=O001/?k4<3:k0f?6=3`9h6=44i2f94?=n;l0;66a:4;29?xd?l3:197>50z&;>o4l3:17d=j:188k02=831v?>50;0xZ76<5;;1?l5rs3394?4bs4;>=7?m;<:a>4d<589364d<58?o64d<58?m64d<58<:64d<58<864d<58<>64d<582n64d<583;64d<583964d<583?64d<583=64d<58ij64d<58ih64d<58in64d<58n;64d<58n964d<58h=64d<58h364d<58hj64d<58hh64d<58hn64d<58926j1v9<50;0xZ14<5=h1:n5rs5194?4|V=9019m56b9~w12=838pR9:4=5f92f=z{=?1<7j1v9850;0xZ10<5=l1:n5rs5594?4|V==018>56b9~w1>=838pR964=4392f=z{=k1<7j1v;>50;1487b:39=70?>0;06?876:38>70?>4;06?876038>70mk:0d89`6=9o16oh4=5:?g4?4234n96?;4=e6960=:l?09963k8;06?8bf2;?01im5249>ag<6n27mi7?i;73<5lo1>852f1811>;a:38>70h;:3789c0=:<16j54=5:?ee?4234336e5<5=27j=7<:;73<5h91>852b182b>;d;3;m70l>:3789g5=:<16n84=5:?a3?4234h26?;4=c`960=:jm09963mf;06?8352:k018?53`9>15<4i27?j7=n;<6f>6g<5=n1?l524b80e>;3j39j70?i2;1`?87a=39h7p}91;296~;6l=08h63>d6860>{t>;0;6ku21d192`=:9<;1>9528c810>;61182b>;6:00:j63>17811>;69>09963>1`811>;69k09963>1b811>;dl38>70km:3789<>=:<16n=4=5:p26<72;q65<49c:?:4?5b3ty=87>52z?23a<4m27:;h4:4:p20<72;q6=5>53d9>5=7===1v;850;0x9=c=>j164i452d=;l1v;650;0x942a2:n01<;?:468yv0>2909w0?8f;4`?87?839o7p}9a;296~;60:0=o63>7880g>{t?<0;6?uQ749>3`<1k2wx;;4?:3y]33=:?o0=o6s|7683>7}Y?>164=49c:p3=<72;qU;5528085g>{t?00;6?uQ789><7<1k2wx;l4?:3y]3d=:0:0=o6s|7c83>7}Y?k164949c:p3a<72;qU;i528485g>{t0k0;6?u28c847>;4139j7p}7c;296~;?j3=?707?:7a8yv>c2909w07>:2g89=b===1v5h50;0x9=`=>j165<475259>507=?=16=8<56b9~w<5=838p1<=7:3689<1=>j1v4:50;0x9<3=>j165:453z?:i3:1?v36a;4`?8?>21<01l95529~w=a<6n27j57?i;|q:g?6=;r72o78l;<;a>=0<5h219>5rs8f94?5|50n1:n529g82b>;fi3;m7p}6e;297~;>m3j16m=4>f:?bf?7a3tyj<7>53z?b4?0d34k:6ec<6n2wxm94?:2y>==27j:7;<;3e3e<5k;1=k52c582b>{tj80;6>u2b085g>;e;3;m70m::0d8yvd52908w0l=:7a89g7=0?16o94:3:pf6<72:q6n>49c:?a1?7a34i=65<4s4h?6;m4=c19<3=:k<0>?6s|b483>6}:j<0=o63m7;3e?8e028l0q~l9:1808d12?i01o;5879>g3<2;2wxn:4?:2y>f2<1k27i57?i;4`3e<5k=14;52c6867>{tj00;6>u2b885g>;ej3;m70m6:0d8yvdf2908w0ln:7a89g?=0?16o54:3:pfg<72:q6no49c:?a`?7a34ij65<4s4hh6;m4=c`9<3=:k00>?6s|be83>6}:jm0=o63mf;3e?8ee28l0q~lj:1808db2?i01oj5879>gd<2;2wxnk4?:3y>fc<1k27ho7?i;|q`4?6=;r7h<78l;<`e>=0<5jh19>5rsb394?5|5k:14;52c2867>;d:3j16h=4>f:?f6?7a3tyhj7>53z?`b?0d34in6584=d3916=z{m:1<7=t=e292f=:l;0:j63j3;3e?xuc93:1?v3k1;4`?8b721<01h<5529~wa4=839p1i<56b9>`1<6n27n87?i;|qg7?6=;r7o?78l;=0<5l919>5rse694?5|5m>1:n52d782b>;b=3;m7p}k5;297~;c=3j16h54>f:?f2?7a3tyo;7>53z?g3?0d34n=6584=d7916=z{m21<7=t=e:92f=:lh0:j63j7;3e?xuc13:1?v3k9;4`?8b?21<01h85529~wag=839p1io56b9>`f<6n27n47?i;|qgf?6=;r7on78l;=0<5l=19>5rsea94?4|5mi1:n52e882b>{tlm0;6>u2de85g>;ck32=70k7:418yvbb2908w0mk:9489`6==:16hk49c:pad<72;q6il49c:?f=?343tynn7>53z?ff?0d34oh60182b>{tmm0;6>u2ee85g>;bk32=70hi:418yvcb2908w0kj:7a89c6=9o16==?51g9~w``=839p1hh56b9>a`27:<=4:3:pb5<72:q6j=49c:?e6?7a34;;>7?i;|qe5?6=;r7m=78l;=0<58::68=4}rd1>5<4s4l96;m4=g695c=:9991=k5rsg194?5|5o91:n52f38;2>;68;0>?6s|f583>6}:n=0=o63i6;3e?877<3;m7p}i5;297~;a=3553=9o1vk950;1x9c1=>j16j;476:?241<2;2wxj54?:2y>b=<1k27mm7?i;<332?7a3tym57>53z?e=?0d34l36584=026>053e<58:<65<4s4li6;m4=gc9<3=:99<19>5rsga94?5|5lh14;52fd867>;al30983>7}:9921:n52115916=z{8;;6=4<{<324?0d34;:>7?i;<31e?7a3ty:=<4?:2y>547=>j16=<>5879>57?==:1v4;3e?875j3;m7p}>1283>6}:9891:n521009<3=:9;k19>5rs037>5<4s4;:878l;<322?7a34;9o7?i;|q250<72:q6=<;56b9>542=0?16=?l5529~w4712908w0?>6;4`?876?3;m70?=d;3e?xu69>0;6>u210592f=:9821=k5213g95c=z{8;36=4<{<3254?=>j16=<65879>57c==:1vb;3e?87483;m7p}>1c83>6}:98h1:n5210a95c=:9:;1=k5rs03`>5<5s4;:o78l;<306?7a3ty:=i4?:2y>540=0?16=?m5529>54c=>j1v2083>6}:98k14;5213d916=:9;81:n5rs000>5<4s4;:n769;<304?3434;9878l;|q260<72:q6=567==:16=?856b9~w4402909w0?<2;70?875033283>6}:99l1?h5211f97a=:99o1:n5rs017>5<3s4;o978l;<3g0?5b34;?j7=j;<34=?5b3ty:?84?:3y>p15>53`9><4<4i27:?5483:?;6?5f3ty:?:4?:7y>3`<4i276g<51>1?l528480e>;6;005g1=:=16i<49c:p56c=838p15<5s4;i57<;;3e7>52z?2g`<5<27:j1v<:;:18187dn38?70??3;4`?xu6<<0;6?u21c`961=:m<0=o6s|15494?4|58n;6?:4=027>3e52z?2ff<5<27n:78l;|q20=<72;q6=i?5259>553=>j1v<:6:18187el38?70k8:7a8yv73i3:1>v3>d3810>;68?0=o6s|15`94?4|58hn6?:4=d:92f=z{8>h6=4={<3g7?4334;;;78l;|q20a<72;q6=oh5259>a<<1k2wx=9k50;0x942b2>901v3>4d840>;684=0gb>60<58?:6:=4}r367?6=:r7:9i483:?2aa<4l2wx=8:50;0x943b2>9015g847>;6mm08n63>f080f>{t9<<1<7=t=043>25<58oo6>m4=0d2>6e;7>53z?224<0;27:ih4901:0ed80f>;6n;03:6s|14c94?4|586bn7>52z?220<0;27:j9410;6?u214g961=:i>0=o6s|17;94?4|58?m6?:4=`:92f=z{8534=:=16mo49c:p53b=838p1<8<:3689de=>j1v<8j:181871<38?70ok:7a8yv71n3:1>v3>64810>;fm37183>7}:9?<1>952ag85g>{t9>;1<7=t=8d9<3=:ih0>?63>0885g>{t9>81<7=t=`29<3=:ik0>?63>0`85g>{t9>91<7=t=`39<3=:ij0>?63>0c85g>{t9>>1<7=t=`09<3=:im0>?63>0b85g>{t9>?1<7=t=`19<3=:il0>?63>0e85g>{t9><1<75<5s4;<478l;<34=?5c3ty:;44?:4y>504=;l1658452d=>j16=8j51d9>50c=9l16=8h51d9>536=9l16=;?51d9>534=9l16=;=51d9>532=9l16=;;51d9>530=9l1v<9m:18a870k393;n70?62;3f?87>;3;n70?64;3f?87>=3;n70?66;3f?87>?3;n7p}>7e83>3}:9<81?n5216f92f=:1<08i6366;1g?870j39o70?8c;1g?xu6090;68u214097a=:91:1:n5216`97f=:9>i1?n52968;2>{t9181<76c<58n=68:4}r3;0?6=:r7:4h483:?2ac<4l2wx=5;50;0x94>a2>9013:1?v3>91847>;6mo08n63>f980`>{t91=1<7=t=0;2>25<58om6>m4=0d;>6e53z?2=7<0;27:j:4901f680g>;6n008n6s|19`94?5|583>6:=4=0d4>6d<58l26>k4}r3;g?6=;r7:5;483:?2b<<4k27:jl403:1>v3>8d810>;d;39883>7}:91l1>952c585g>{t90k1<772<5j?1:n5rs0;a>5<5s4;2=7<;;3e52z?2=7<5<27h;78l;|q2=a<72;q6=4=5259>g=<1k2wx=4k50;0x94?32;>01n756b9~w4?a2909w0?65;07?8ef2?i0q~?n0;296~;61?09863lb;4`?xu6i80;6?u2185961=:kj0=o6s|1`094?4|58826;m4=b097d=z{8k86=4={<31e?0d34h96>l4}r3b0?6=:r7:>o49c:?a0?5e3ty:m84?:3y>57e=>j16n;4=;k1vv3>2g85g>;ek39i7p}>a883>7}:9::1:n52bd80f>{t9hk1<73e<5j:1?o5rs0ca>5<5s4;8>78l;0556z?2f3<0;273j7=k;<3gf?5d34k>6>o4=ed97d=:0m08n6s|1`f94?1|58h<6:=4=8c97g=:ko08n63>dc80a>;6?>08n63>8080f>;?l39h7p}>ad83>=}:9k21;>521e;97`=:1j08n63k1;1a?870?39h70?8e;1`?87?939o706k:2f8yv7fn3:14v3>b8847>;6l008h636e;1a?8b42:h015k53c9>521=;m16=:k53c9>5=7=;j1va2:h01<>j:2f89a3=;k16==m53d9>55?=;h164h4n:2`894b>2:h01<>j:2`89a1=;k16==m53b9><`<4k27:;k455d=;k164k46d<58=o6>m4=0fb>6c5az?2fa<0;27:l4=02`>6d<582;6>m4=0fb>6e<58=n6>j4}r3a0?6=jr7:nh483:?24c<4l27:>7=m;<;3>6e<58=i6>l4=05`>6d<50?1?o529780g>;6lh08h6s|1c794?>|58hm6:=4=02e>6e<5lk1?o529180`>;60908n63>7g80f>;6?m08n63>d`80f>{t9j:1<78t=0ab>25<58=36>j4=032>6d<5on1?l521e697g=:9=l1?o5rs0a2>5<1s4;hn79<;<3g0?5d34;?j7=l;<34l4=030>6d7>56z?2gf<0;27:;54<7=m;|q2g6<72?q6=nj5729>52>=;j16j<490153`9~w4e2290>w0?lf;50?8`22:h0142:i013:19v3>d1847>;a?39i70?73;1a?875:39j70?k6;1a?xu6k>0;68u21e3936=:9m?1?i52f880f>;60:08h63>2580e>{t9j21<7;t=0f1>25<58n>6>l4=g`97g=:9191?h5213497d=z{8i26=49{<3g7?1434;;47=m;<315fd=?=16=nm5759>5fb=?=16=nk5759>5f`=?=16=i>5759>5a7=?=16=i<5759>5a5=?=16=i:56b9~w4b?290:9v3>c`82a>;6kk0:i63>cb82a>;6km0:i63>cd82a>;6ko0:i63>d182a>;6l80:i63>d382a>;6l:0:i63>b7840>;6j>0<863>b9840>;6j00<863>b`840>;6jk0<863>bb840>;6jm0<863>bd840>;6jo0<863>dc85g>{t9m31<73e<58ni6>j4}r3ge?6=:r7:ho4=0<4k272:7=m;|q2a<<72;q6=hl5729>56?=0?1v5<5s4;ni78l;<3e0?5d3ty:ik4?:3y>5``=>j16=ko53c9~w4`72909w0?i2;4`?87a<39n7p}>f083>7}:9o;1:n521g097g=z{8l86=4<{<3e2?5e34;mo7=m;<3e1?0d3ty:j94?:3y>5c2=>j16=k;53c9~w4`02909w0?i7;4`?87ai39o7p}>f983>7}:9o21:n521g;97a=z{8l26=4={<3e=?0d34;mm7=j;|q2bd<72:q6=ko56b9>5c0=;j16=km53b9~w4`e2909w0?ja;07?87ak33n7>51zJ;=>{i<1i1<7?tH9;8yk2?l3:1=vF79:m0=c=83;pD574}o6;b?6=9rB356sa48294?7|@130qc:61;295~N?12we84<50;3xL=?2?7>51zJ;=>{i<0>1<7?tH9;8yk2>=3:1=vF79:m0<0=83;pD574}o6:3?6=9rB356sa48:94?7|@130qc:69;295~N?12we84o50;3xL=?2n7>51zJ;=>{i<0i1<7?tH9;8yk2>l3:1=vF79:m0j?7>51zJ;=>{i1<7?tH9;8yk2f=3:1=vF79:m0d0=83;pD574}o6b3?6=9rB356sa4`:94?7|@130qc:n9;295~N?12we8lo50;3xL=?jn7>51zJ;=>{ii?7>51zJ;=>{i1<7?tH9;8yk2e=3:1=vF79:m0g0=83;pD574}o6a3?6=9rB356sa4c:94?7|@130qc:m9;295~N?12we8oo50;3xL=?in7>51zJ;=>{ih?7>51zJ;=>{i1<7?tH9;8yk2d=3:1=vF79:m0f0=83;pD574}o6`3?6=9rB356sa4b:94?7|@130qc:l9;295~N?12we8no50;3xL=?hn7>51zJ;=>{io?7>51zJ;=>{i1<7?tH9;8yk2c=3:1=vF79:m0a0=83;pD574}o6g3?6=9rB356sa4e:94?7|@130qc:k9;295~N?12we8io50;3xL=?on7>51zJ;=>{in?7>51zJ;=>{i1<7?tH9;8yk2b=3:1=vF79:m0`0=83;pD574}o6f3?6=9rB356sa4d:94?7|@130qc:j9;295~N?12we8ho50;3xL=?nn7>51zJ;=>{im?7>51zJ;=>{i1<7?tH9;8yk2a=3:1=vF79:m0c0=83;pD574}o6e3?6=9rB356sa4g:94?7|@130qc:i9;295~N?12we8ko50;3xL=?mn7>51zJ;=>{i51zJ;=>{i=9>1<7?tH9;8yk37=3:1=vF79:m150=83;pD574}o733?6=9rB356sa51:94?7|@130qc;?9;295~N?12we9=o50;3xL=?51zJ;=>{i=9i1<7?tH9;8yk37l3:1=vF79:m15c=83;pD574}o73b?6=9rB356sa50294?7|@130qc;>1;295~N?12we9<<50;3xL=?51zJ;=>{i=8>1<7?tH9;8yk36=3:1=vF79:m140=83;pD574}o723?6=9rB356sa50:94?7|@130qc;>9;295~N?12we951zJ;=>{i=8i1<7?tH9;8yk36l3:1=vF79:m14c=83;pD574}o72b?6=9rB356sa53294?7|@130qc;=1;295~N?12we9?<50;3xL=?51zJ;=>{i=;>1<7?tH9;8yk35=3:1=vF79:m170=83;pD574}o713?6=9rB356sa53:94?7|@130qc;=9;295~N?12we9?o50;3xL=?51zJ;=>{i=;i1<7?tH9;8yk35l3:1=vF79:m17c=83;pD574}o71b?6=9rB356sa52294?7|@130qc;<1;295~N?12we9><50;3xL=?51zJ;=>{i=:>1<7?tH9;8yk34=3:1=vF79:m160=83;pD574}o703?6=9rB356sa52:94?7|@130qc;<9;295~N?12we9>o50;3xL=?51zJ;=>{i=:i1<7?tH9;8yk34l3:1=vF79:m16c=83;pD574}o70b?6=9rB356sa55294?7|@130qc;;1;295~N?12we99<50;3xL=?51zJ;=>{i==>1<7?tH9;8yk33=3:1=vF79:m110=83;pD574}o773?6=9rB356sa55:94?7|@130qc;;9;295~N?12we99o50;3xL=?51zJ;=>{i==i1<7?tH9;8yk33l3:1=vF79:m11c=83;pD574}o77b?6=9rB356sa54294?7|@130qc;:1;295~N?12we98<50;3xL=??7>51zJ;=>{i=<>1<7?tH9;8yk32=3:1=vF79:m100=83;pD574}o763?6=9rB356sa54:94?7|@130qc;:9;295~N?12we98o50;3xL=?n7>51zJ;=>{i=51zJ;=>{i=?>1<7?tH9;8yk31=3:1=vF79:m130=83;pD574}o753?6=9rB356sa57:94?7|@130qc;99;295~N?12we9;o50;3xL=?51zJ;=>{i=?i1<7?tH9;8yk31l3:1=vF79:m13c=83;pD574}o75b?6=9rB356sa56294?7|@130qc;81;295~N?12we9:<50;3xL=?51zJ;=>{i=>>1<7?tH9;8yk30=3:1=vF79:m120=83;pD574}o743?6=9rB356sa56:94?7|@130qc;89;295~N?12we9:o50;3xL=?51zJ;=>{i=>i1<7?tH9;8yk30l3:1=vF79:m12c=83;pD574}o74b?6=9rB356sa59294?7|@130qc;71;295~N?12we95<50;3xL=?51zJ;=>{i=1>1<7?tH9;8yk3?=3:1=vF79:m1=0=83;pD574}o7;3?6=9rB356sa59:94?7|@130qpsr@AAx2=g=l0839n9l}ABA5{GHYqvLM \ No newline at end of file +$9`34=7<2:;<<:4010;0>670980<<:400DE6>65<2:8<<<409084G2<8O:3=6?;;03241=6989?74392B4=5:28;86L<433681031<2?>9I<457681332<2?;6683C@A922975>;;92341=?0O2:=665OTVSQQ1::9KPRW]]0YKO28:1<2?<4<1?>054794:C3<540M5674:CBE<26L?4:@VBB33JF@SO[IGQ;8GJKJA]^NH:5LRDCWAA7@E<2LIIL<4FE18BAC43ONY86HKRD08B@2@A=M>0JKKN4:DEAC2NT?2BXSBLZF09J6>O7:2C:>6G=2:K0=>OIA]Y_MYK<;HLU6>JC>2FNH1>16:NF@979>2FNH1<16:NF@959>2FNH1:16:NF@939>2FNH1818:NF@91=87<0@HJ37?c8H@BX8VEIYKo4LDF\5ZIE]Ok0@HJP2^MAQCgRB;;MWW73=K]]9T@95CUU65?ISS3h4M`a`qhYdpVir0>0i;Lc`gpkXkqUhu1:1f:ObgfsjWjrTot2:>g9NefereVisSnw36?d8Ided}dUhtRmv<6KfkjfSnvPcx>::47_HLU[5773DkhoxcPcy]oqq:468:0Almlul]`|Zjr|5>5==5BabaviZeWe080>0:ObgfsjWjrT`xz36?33?Hgdk|gTouQcuu>4:469991Fmnmzm^a{[iss404:<6Cncbwn[f~Xpfx7<3?>;Lc`gpkXkqUsc2>0?33?Hgdk|gTouQwos>2:46028Ided}dUhtRv`r=4=55=Jiji~aRmw_ymq828682Gjon{b_bz\|jt;07;;7@olcto\g}Yg{622k5BabaviZe~Wjs7<3?=;Lc`gpkXkpUhu1>1_sve?Hgdk|gTotQly=3=b>KfkjfSnwPcx>1:c=Jiji~aRmv_b{?7;`1119NefereVirSa{{<0<23>KfkjfSnwPltv?5;7XAG\T<<>4M`a`qhYdqVf~x1<1119NefereVirSa{{<2<24>KfkjfSnwPltv?0;773DkhoxcPcx]oqq:268:0Almlul]`}Zjr|5<5==5BabaviZe~We0:0>0:ObgfsjWjsT`xz38?33?Hgdk|gTotQcuu>::460:ObgfsjWjsTtb|32?33?Hgdk|gTotQwos>0:46028Ided}dUhuRv`r=:=55=Jiji~aRmv_ymq8<8a3DkhoxcPlq]`}969n2Gjon{b_mr\g|:66o1Fmnmzm^ns[f;:7l0Almlul]otZe~4:4m7@olcto\huYdq5>5j6Cncbwn[ivXkp6>2k5BabaviZjwWjs7:3h4M`a`qhYkxVir0:0i;Lc`gpkXdyUhu161f:ObgfsjWezTot26>028Ided}dUg|Rbzt=2=54=Jiji~aRb_mww8469991Fmnmzm^ns[iss484:<6Cncbwn[ivXd|~7>3??;Lc`gpkXdyUgyy2<>028Ided}dUg|Rbzt=6=55=Jiji~aRb_mww808682Gjon{b_mr\hpr;>7;;7@olcto\huYk}}6<2<>4M`a`qhYkxVf~x161119NefereVf{Sa{{<8<24>KfkjfSa~Pxnp?4;763DkhoxcPlq]{kw:687;;7@olcto\huYg{6:2<>4M`a`qhYkxVrd~1<1119NefereVf{Sua}<2<24>KfkjfSa~Pxnp?0;773DkhoxcPlq]{kw:268:0Almlul]otZ~hz5<5==5BabaviZjwWqey0:0>0:ObgfsjWezTtb|38?33?Hgdk|gT`}Qwos>::c=Jiji~aR|_b{?4;`0:ObgfsjW{zT`xz30?32?Hgdk|gT~}Qcuu>24;773DkhoxcPrq]oqq:668:0Almlul]qtZjr|585==5BabaviZtwWe0>0>0:ObgfsjW{zT`xz34?33?Hgdk|gT~}Qcuu>6:464:<6Cncbwn[wvXd|~743??;Lc`gpkXzyUgyy26>028Ided}dUy|Rv`r=2=54=Jiji~aR|_ymq8469991Fmnmzm^ps[}iu484:<6Cncbwn[wvXpfx7>3??;Lc`gpkXzyUsc2<>028Ided}dUy|Rv`r=6=55=Jiji~aR|_ymq808682Gjon{b_sr\|jt;>7;;7@olcto\vuYg{6<2<>4M`a`qhYuxVrd~161119NefereVx{Sua}<8<2b>KflmUhhtQ|uc2345Yg{6920>1^KMRZ66n2GjhiQldx]pqg6789Usc2;>03\MKPX88l0Aljk_bfz[vse89:;Sua}<4<25ZOI^V::m6Cnde]kt`tXimn;<=>Pxnp?3;769k1FmijPhqgq[dbc89:;Sua}<6<2547f3DkohRfes]b`a6789Usc27>032f>KflmUc|h|Paef3456Xpfx743?>10c8IdbcWazn~Rokd1235Z~hz5=5=?00]{kw:068;:=l5Baef\lucuWhno<=>>_ymq8=8698h0Aljk_irfvZgcl9:;=Rv`r=:=5476k2GjhiQrdq`eicuWhno<=>?_b{?5;7d3DkohR~}erabh`tXimn;<=>Pcx>1:4`?_b{?7;7d3DkohR~}erabh`tXimn;<=>Pcx>7:4`?_b{?1;7a3DkohR~}erabh`tXimn;<=>Pcx>6:Zts9j1FmijPpsgpgdjbzVkoh=>?0^az8386n2GjhiQrdq`eicuWhno<=>?_b{?2;Yu|8i0Aljk_qpfwfgkm{Ujhi>?01]`}9199o1FmijPpsgpgdjbzVkoh=>?0^az828Xz};h7@okd^rqavefdlxTmij?012\g|:?68l0Aljk_qpfwfgkm{Ujhi>?01]`}9>9W{~:o6Cnde]sv`udieoySljk0123[f;17;m7@okd^rqavefdlxTmij?012\g|:>6Vx=i5Baef\twctkhfn~Rokd1234Zjr|5;5>>5Baef\twctkhfn~Rokd1234Zjr|5;5SD@Y_13g?HgclVzyi~mnldp\eab789:T`xz33?00?HgclVzyi~mnldp\eab789:T`xz33?]JJSY79l1FmijPpsgpgdjbzVkoh=>?0^zlv9776;;0Aljk_qpfwfgkm{Ujhi>?01]{kw:687Uyx2c:Ob`aYwzlyhmak}_`fg4566Wjs7;3?i;Lcg`Zvumzij`h|Paef3457Xkp6<2R|{1b9NeabXx{oxolbjr^cg`5679Vir050>f:Ob`aYwzlyhmak}_`fg4566Wjs743Q}t0a8IdbcWyxnnoces]b`a6788Uhu1711g9NeabXx{oxolbjr^cg`5679Vir040Pru3g?HgclVzyi~mnldp\eab789;T`xz31?00?HgclVzyi~mnldp\eab789;T`xz31?]JJSY79m1FmijPpsgpgdjbzVkoh=>?1^nvp959::1FmijPpsgpgdjbzVkoh=>?1^nvp959W@D]S=?j;Lcg`Zvumzij`h|Paef3457Xpfx7==0=1:Ob`aYwzlyhmak}_`fg4566Wqey0<>1_sv2`>KflmU{~h}lamgq[dbc89::Sua}<0<2`>KflmU{~h}lamgq[dbc89::Sua}<3<2`>KflmU{~h}lamgq[dbc89::Sua}<2<2`>KflmU{~h}lamgq[dbc89::Sua}<5<2`>KflmU{~h}lamgq[dbc89::Sua}<4<2`>KflmU{~h}lamgq[dbc89::Sua}<7<2`>KflmU{~h}lamgq[dbc89::Sua}<6<2`>KflmU{~h}lamgq[dbc89::Sua}<9<2`>KflmU{~h}lamgq[dbc89::Sua}<8<2g>KflmU{~h}lamgq[dbc89:9Snw31?3`?HgclVzyi~mnldp\eab7898Tot2=>0d8IdbcWyxnnoces]b`a678;Uhu1<1_sv2g>KflmU{~h}lamgq[dbc89:9Snw33?3`?HgclVzyi~mnldp\eab7898Tot2;>0d8IdbcWyxnnoces]b`a678;Uhu1:1_sv2g>KflmU{~h}lamgq[dbc89:9Snw35?3`?HgclVzyi~mnldp\eab7898Tot29>0a8IdbcWyxnnoces]b`a678;Uhu1911b9NeabXx{oxolbjr^cg`567:Vir050>c:Ob`aYwzlyhmak}_`fg4565Wjs753?k;Lcg`Zvumzij`h|Paef3454Xd|~7=3<<;Lcg`Zvumzij`h|Paef3454Xd|~7=3QFNW]35a=JimnT|k|c`nfvZgcl9:;>Rbzt=1=66=JimnT|k|c`nfvZgcl9:;>Rbzt=1=[LHQW9;n7@okd^rqavefdlxTmij?010\|jt;994:h6Cnde]sv`udieoySljk0121[}iu484:h6Cnde]sv`udieoySljk0121[}iu4;4:h6Cnde]sv`udieoySljk0121[}iu4:4:h6Cnde]sv`udieoySljk0121[}iu4=4:h6Cnde]sv`udieoySljk0121[}iu4<4:h6Cnde]sv`udieoySljk0121[}iu4?4:h6Cnde]sv`udieoySljk0121[}iu4>4:h6Cnde]sv`udieoySljk0121[}iu414:h6Cnde]sv`udieoySljk0121[}iu404:o6Cnde]sv`udieoySljk0120[f;97;h7@okd^rqavefdlxTmij?011\g|:568l0Aljk_qpfwfgkm{Ujhi>?02]`}949W{~:o6Cnde]sv`udieoySljk0120[f;;7;h7@okd^rqavefdlxTmij?011\g|:368l0Aljk_qpfwfgkm{Ujhi>?02]`}929W{~:o6Cnde]sv`udieoySljk0120[f;=7;h7@okd^rqavefdlxTmij?011\g|:168i0Aljk_qpfwfgkm{Ujhi>?02]`}9199j1FmijPpsgpgdjbzVkoh=>?3^az8=86k2GjhiQrdq`eicuWhno<=><_b{?=;7c3DkohR~}erabh`tXimn;<==Pltv?5;443DkohR~}erabh`tXimn;<==Pltv?5;YNF_U;=i5Baef\twctkhfn~Rokd1237Zjr|595>>5Baef\twctkhfn~Rokd1237Zjr|595SD@Y_13f?HgclVzyi~mnldp\eab7899Ttb|311<2`>KflmU{~h}lamgq[dbc89:8Sua}<0<2`>KflmU{~h}lamgq[dbc89:8Sua}<3<2`>KflmU{~h}lamgq[dbc89:8Sua}<2<2`>KflmU{~h}lamgq[dbc89:8Sua}<5<2`>KflmU{~h}lamgq[dbc89:8Sua}<4<2`>KflmU{~h}lamgq[dbc89:8Sua}<7<2`>KflmU{~h}lamgq[dbc89:8Sua}<6<2`>KflmU{~h}lamgq[dbc89:8Sua}<9<2`>KflmU{~h}lamgq[dbc89:8Sua}<8<2b>KflmU{~h}lamgq[dbc{|h;<=>Pcx>3:76?0^az8469:91FmijPpsgpgdjbzVkoh~{m0123[f;9849<6Cnde]sv`udieoySljkst`3456Xkp6:>3328IdbcWyxnnoces]b`aurj9:;?_b{?508582GjhiQrdq`eicuWhnoxl?012\g|:6>78;7@okd^rqavefdlxTmij|uc2345Ydq5;<2f:Ob`aYwzlyhmak}_`fgwpd789:Tot2=>0d8IdbcWyxnnoces]b`aurj9:;KflmU{~h}lamgq[dbc{|h;<=>Pcx>7:4`?0^az8086n2GjhiQrdq`eicuWhnoxl?012\g|:168l0Aljk_qpfwfgkm{Ujhi}zb1234Ze~4>4:j6Cnde]sv`udieoySljkst`3456Xkp6323:77?0^zlv9776;;0Aljk_qpfwfgkm{Ujhi}zb1234Z~hz5;:2??4M`fg[utb{jkgiQndeqvf5678Vrd~1?=>338IdbcWyxnnoces]b`aurj9:;?0^zlv9736;;0Aljk_qpfwfgkm{Ujhi}zb1234Z~hz5;>2??4M`fg[utb{jkgiQndeqvf5678Vrd~1?9>338IdbcWyxnnoces]b`aurj9:;?0^zlv97?6;:0Aljk_qpfwfgkm{Ujhi}zb1234Z~hz5;5>=5Baef\twctkhfn~Rokdrwa4567Wqey0?0=0:Ob`aYwzlyhmak}_`fgwpd789:Ttb|33?03?HgclVzyi~mnldp\eabt}k:;<=Qwos>7:76?0^zlv939:91FmijPpsgpgdjbzVkoh~{m0123[}iu4?49<6Cnde]sv`udieoySljkst`3456Xpfx7;3328IdbcWyxnnoces]b`aurj9:;3:4`<_b{?5586m2Ghc`~nr^kmvbdXkdzTkh>?02]`}9799l1Fobcas]jjwaeWjg{Sjk?011\g|:568o0Anabp`p\mkt`jVif|Rij0120[f;;7;n7@m`mqcq[lhuokUha}Qhe1237Ze~4=4:i6ClolrbvZoiznhTo`~Pgd2346Ydq5?5=h5BcnosewYnf{miSnc_fg3455Xkp6=2e:O`khvfzVce~jlPclr\c`678:Uhu1711g9Ngjkwi{Ubbim_bos[bc7899T`xz30?3e?HeheykySd`}gc]`iuY`m9:;?Rbzt=3=5c=Jkfg{mQfnsea[fkwWno;<==Pltv?6;7a3Dida}o}_hlqcgYdeyUli=>?3^nvp9599o1Fobcas]jjwaeWjg{Sjk?011\hpr;<7;m7@m`mqcq[lhuokUha}Qhe1237Zjr|5?5=k5BcnosewYnf{miSnc_fg3455Xd|~7:3?i;LaliuguW`dykoQlmq]da567;Vf~x1911g9Ngjkwi{Ubbim_bos[bc7899T`xz38?3e?HeheykySd`}gc]`iuY`m9:;?Rbzt=;=5`=Jkfg{mQfnsea[fkwWno;<=;Pcx>3:4`:_b{?5586m2Ghc`~nr^kmvbdXkdzTkh>?04]`}9799l1Fobcas]jjwaeWjg{Sjk?017\g|:568o0Anabp`p\mkt`jVif|Rij0126[f;;7;n7@m`mqcq[lhuokUha}Qhe1231Ze~4=4:i6ClolrbvZoiznhTo`~Pgd2340Ydq5?5=h5BcnosewYnf{miSnc_fg3453Xkp6=2e:O`khvfzVce~jlPclr\c`678?5^nvp9599o1Fobcas]jjwaeWjg{Sjk?017\hpr;<7;m7@m`mqcq[lhuokUha}Qhe1231Zjr|5?5=k5BcnosewYnf{miSnc_fg3453Xd|~7:3?i;LaliuguW`dykoQlmq]da567=Vf~x1911g9Ngjkwi{Ubbim_bos[bc789?T`xz38?3e?HeheykySd`}gc]`iuY`m9:;9Rbzt=;=5`=Jkfg{mQfnsea[fkwWno;<=8Pcx>3:4`9_b{?5586m2Ghc`~nr^kmvbdXkdzTkh>?07]`}9799l1Fobcas]jjwaeWjg{Sjk?014\g|:568o0Anabp`p\mkt`jVif|Rij0125[f;;7;n7@m`mqcq[lhuokUha}Qhe1232Ze~4=4:i6ClolrbvZoiznhTo`~Pgd2343Ydq5?5=h5BcnosewYnf{miSnc_fg3450Xkp6=2Vir050>e:O`khvfzVce~jlPclr\c`678?Uhu1711g9Ngjkwi{Ubbim_bos[bc789?6^nvp9599o1Fobcas]jjwaeWjg{Sjk?014\hpr;<7;m7@m`mqcq[lhuokUha}Qhe1232Zjr|5?5=k5BcnosewYnf{miSnc_fg3450Xd|~7:3?i;LaliuguW`dykoQlmq]da567>Vf~x1911g9Ngjkwi{Ubbim_bos[bc7893:4`8_b{?5586m2Ghc`~nr^kmvbdXkdzTkh>?06]`}9799l1Fobcas]jjwaeWjg{Sjk?015\g|:568o0Anabp`p\mkt`jVif|Rij0124[f;;7;n7@m`mqcq[lhuokUha}Qhe1233Ze~4=4:i6ClolrbvZoiznhTo`~Pgd2342Ydq5?5=h5BcnosewYnf{miSnc_fg3451Xkp6=2e:O`khvfzVce~jlPclr\c`678>Uhu1711g9Ngjkwi{Ubbim_bos[bc789=T`xz30?3e?HeheykySd`}gc]`iuY`m9:;;Rbzt=3=5c=Jkfg{mQfnsea[fkwWno;<=9Pltv?6;7a3Dida}o}_hlqcgYdeyUli=>?7^nvp9599o1Fobcas]jjwaeWjg{Sjk?015\hpr;<7;m7@m`mqcq[lhuokUha}Qhe1233Zjr|5?5=k5BcnosewYnf{miSnc_fg3451Xd|~7:3?i;LaliuguW`dykoQlmq]da567?Vf~x1911g9Ngjkwi{Ubbim_bos[bc789=T`xz38?3e?HeheykySd`}gc]`iuY`m9:;;Rbzt=;=5`=Jkfg{mQfnsea[fkwWeo;<==Pcx>3:4`<_b{?5586m2Ghc`~nr^kmvbdXkdzT`h>?02]`}9799l1Fobcas]jjwaeWjg{Sak?011\g|:568o0Anabp`p\mkt`jVif|Rbj0120[f;;7;n7@m`mqcq[lhuokUha}Qce1237Ze~4=4:i6ClolrbvZoiznhTo`~Pld2346Ydq5?5=h5BcnosewYnf{miSnc_mg3455Xkp6=2e:O`khvfzVce~jlPclr\h`678:Uhu1711g9Ngjkwi{Ubbim_bos[ic7899T`xz30?3e?HeheykySd`}gc]`iuYkm9:;?Rbzt=3=5c=Jkfg{mQfnsea[fkwWeo;<==Pltv?6;7a3Dida}o}_hlqcgYdeyUgi=>?3^nvp9599o1Fobcas]jjwaeWjg{Sak?011\hpr;<7;m7@m`mqcq[lhuokUha}Qce1237Zjr|5?5=k5BcnosewYnf{miSnc_mg3455Xd|~7:3?i;LaliuguW`dykoQlmq]oa567;Vf~x1911g9Ngjkwi{Ubbim_bos[ic7899T`xz38?3e?HeheykySd`}gc]`iuYkm9:;?Rbzt=;=5`=Jkfg{mQfnsea[fkwWeo;<=;Pcx>3:4`:_b{?5586m2Ghc`~nr^kmvbdXkdzT`h>?04]`}9799l1Fobcas]jjwaeWjg{Sak?017\g|:568o0Anabp`p\mkt`jVif|Rbj0126[f;;7;n7@m`mqcq[lhuokUha}Qce1231Ze~4=4:i6ClolrbvZoiznhTo`~Pld2340Ydq5?5=h5BcnosewYnf{miSnc_mg3453Xkp6=2e:O`khvfzVce~jlPclr\h`678?5^nvp9599o1Fobcas]jjwaeWjg{Sak?017\hpr;<7;m7@m`mqcq[lhuokUha}Qce1231Zjr|5?5=k5BcnosewYnf{miSnc_mg3453Xd|~7:3?i;LaliuguW`dykoQlmq]oa567=Vf~x1911g9Ngjkwi{Ubbim_bos[ic789?T`xz38?3e?HeheykySd`}gc]`iuYkm9:;9Rbzt=;=5`=Jkfg{mQfnsea[fkwWeo;<=8Pcx>3:4`9_b{?5586m2Ghc`~nr^kmvbdXkdzT`h>?07]`}9799l1Fobcas]jjwaeWjg{Sak?014\g|:568o0Anabp`p\mkt`jVif|Rbj0125[f;;7;n7@m`mqcq[lhuokUha}Qce1232Ze~4=4:i6ClolrbvZoiznhTo`~Pld2343Ydq5?5=h5BcnosewYnf{miSnc_mg3450Xkp6=2Vir050>e:O`khvfzVce~jlPclr\h`678?Uhu1711g9Ngjkwi{Ubbim_bos[ic789?6^nvp9599o1Fobcas]jjwaeWjg{Sak?014\hpr;<7;m7@m`mqcq[lhuokUha}Qce1232Zjr|5?5=k5BcnosewYnf{miSnc_mg3450Xd|~7:3?i;LaliuguW`dykoQlmq]oa567>Vf~x1911g9Ngjkwi{Ubbim_bos[ic7893:4`8_b{?5586m2Ghc`~nr^kmvbdXkdzT`h>?06]`}9799l1Fobcas]jjwaeWjg{Sak?015\g|:568o0Anabp`p\mkt`jVif|Rbj0124[f;;7;n7@m`mqcq[lhuokUha}Qce1233Ze~4=4:i6ClolrbvZoiznhTo`~Pld2342Ydq5?5=h5BcnosewYnf{miSnc_mg3451Xkp6=2e:O`khvfzVce~jlPclr\h`678>Uhu1711g9Ngjkwi{Ubbim_bos[ic789=T`xz30?3e?HeheykySd`}gc]`iuYkm9:;;Rbzt=3=5c=Jkfg{mQfnsea[fkwWeo;<=9Pltv?6;7a3Dida}o}_hlqcgYdeyUgi=>?7^nvp9599o1Fobcas]jjwaeWjg{Sak?015\hpr;<7;m7@m`mqcq[lhuokUha}Qce1233Zjr|5?5=k5BcnosewYnf{miSnc_mg3451Xd|~7:3?i;LaliuguW`dykoQlmq]oa567?Vf~x1911g9Ngjkwi{Ubbim_bos[ic789=T`xz38?3e?HeheykySd`}gc]`iuYkm9:;;Rbzt=;=5g=Jkfg{mQcp^antZjb89:;Snw30?3a?HeheykySa~Pclr\h`6789Uhu1?11c9Ngjkwi{Ug|Rmbp^nf4567Wjs7>3?m;LaliuguWezTo`~Pld2345Ydq595=n5BcnosewYkxVif|Rbj0123[iss494:o6ClolrbvZjwWjg{Sak?012\hpr;97;h7@m`mqcq[ivXkdzT`h>?01]oqq:568i0Anabp`p\huYdeyUgi=>?0^nvp959::1Fobcas]otZejxVfn<=>?_mww8686W@D]S=<=;LaliuguWyxnnoces]`iuY`m9:;=Rmv<1<17>Kdgdzj~R~}erabh`tXkdzTkh>?00]`}9776;80Anabp`p\twctkhfn~Rmbp^ef4566Wjs7=3<=;LaliuguWyxnnoces]`iuY`m9:;=Rmv<3<16>Kdgdzj~R~}erabh`tXkdzTkh>?00]`}959:;1Fobcas]sv`udieoySnc_fg3457Xkp6?2?<4MbmntdtXx{oxolbjr^antZab89::Snw35?01?HeheykyS}|jsbcoawYdeyUli=>?1^az8385:2Ghc`~nr^rqavefdlxTo`~Pgd2344Ydq5=5>?5BcnosewYwzlyhmak}_bos[bc789;Tot27>308IfijxhxT|k|c`nfvZejxVmn<=>>_b{?=;443Dida}o}_qpfwfgkm{Uha}Qhe1235Zjr|5:5>95BcnosewYwzlyhmak}_bos[bc789;T`xz311<17>Kdgdzj~R~}erabh`tXkdzTkh>?00]oqq:66;90Anabp`p\twctkhfn~Rmbp^ef4566We0?0=3:O`khvfzVzyi~mnldp\ghvXol:;<0:75318IfijxhxT|k|c`nfvZejxVmn<=>>_mww8385;2Ghc`~nr^rqavefdlxTo`~Pgd2344Yk}}6<2?=4MbmntdtXx{oxolbjr^antZab89::Sa{{<9<17>Kdgdzj~R~}erabh`tXkdzTkh>?00]oqq:>6;80Anabp`p\twctkhfn~Rmbp^ef4564Wjs7<3<<;LaliuguWyxnnoces]`iuY`m9:;?Rmv<02=67=Jkfg{mQrdq`eicuWjg{Sjk?011\g|:66;80Anabp`p\twctkhfn~Rmbp^ef4564Wjs7>3<=;LaliuguWyxnnoces]`iuY`m9:;?Rmv<2<16>Kdgdzj~R~}erabh`tXkdzTkh>?02]`}929:;1Fobcas]sv`udieoySnc_fg3455Xkp6>2?<4MbmntdtXx{oxolbjr^antZab89:8Snw36?01?HeheykyS}|jsbcoawYdeyUli=>?3^az8285:2Ghc`~nr^rqavefdlxTo`~Pgd2346Ydq525>?5BcnosewYwzlyhmak}_bos[bc7899Tot26>318IfijxhxT|k|c`nfvZejxVmn<=><_mww8585<2Ghc`~nr^rqavefdlxTo`~Pgd2346Yk}}6:<3<<;LaliuguWyxnnoces]`iuY`m9:;?Rbzt=3=66=Jkfg{mQrdq`eicuWjg{Sjk?011\hpr;:7887@m`mqcq[utb{jkgiQlmq]da567;Vf~x1=1229Ngjkwi{U{~h}lamgq[fkwWno;<==Pltv?0;443Dida}o}_qpfwfgkm{Uha}Qhe1237Zjr|5?5>>5BcnosewYwzlyhmak}_bos[bc7899T`xz36?00?HeheykyS}|jsbcoawYdeyUli=>?3^nvp919::1Fobcas]sv`udieoySnc_fg3455Xd|~743<<;LaliuguWyxnnoces]`iuY`m9:;?Rbzt=;=67=Jkfg{mQrdq`eicuWjg{Sjk?016\g|:76;80Anabp`p\twctkhfn~Rmbp^ef4563Wjs7=3<=;LaliuguWyxnnoces]`iuY`m9:;8Rmv<3<16>Kdgdzj~R~}erabh`tXkdzTkh>?05]`}959:;1Fobcas]sv`udieoySnc_fg3452Xkp6?2?=4MbmntdtXx{oxolbjr^antZab89:?Sa{{<1<17>Kdgdzj~R~}erabh`tXkdzTkh>?05]oqq:66;90Anabp`p\twctkhfn~Rmbp^ef4563We0?0=3:O`khvfzVzyi~mnldp\ghvXol:;<9Qcuu>0:7?;_mww8185i2Ghc`~nr^rqavefdlxTo`~Pgd2341Yk}}6?2Kdgdzj~R~}erabh`tXkdzT`h>?01]`}959:<1Fobcas]sv`udieoySnc_mg3456Xkp682R|{239Ngjkwi{U{~h}lamgq[fkwWeo;<=>Pcx>7:747@m`mqcq[utb{jkgiQlmq]oa5678Vir080Pru01?HeheykyS}|jsbcoawYdeyUgi=>?0^az8385;2Ghc`~nr^rqavefdlxTo`~Pld2345Yk}}6;2?74MbmntdtXx{oxolbjr^antZjb89:;Sa{{<1<\MKPX8;90Anabp`p\twctkhfn~Rmbp^nf4567We0<0=3:O`khvfzVzyi~mnldp\ghvXdl:;<=Qcuu>1:753c8IfijxhxT|k|c`nfvZejxVfn<=>?_mww8386W@D]S=<=;LaliuguWyxnnoces]`iuYkm9:;=Rmv<1<17>Kdgdzj~R~}erabh`tXkdzT`h>?00]`}9776;80Anabp`p\twctkhfn~Rmbp^nf4566Wjs7=3<=;LaliuguWyxnnoces]`iuYkm9:;=Rmv<3<16>Kdgdzj~R~}erabh`tXkdzT`h>?00]`}959:;1Fobcas]sv`udieoySnc_mg3457Xkp6?2?<4MbmntdtXx{oxolbjr^antZjb89::Snw35?01?HeheykyS}|jsbcoawYdeyUgi=>?1^az8385:2Ghc`~nr^rqavefdlxTo`~Pld2344Ydq5=5>?5BcnosewYwzlyhmak}_bos[ic789;Tot27>308IfijxhxT|k|c`nfvZejxVfn<=>>_b{?=;443Dida}o}_qpfwfgkm{Uha}Qce1235Zjr|5:5>95BcnosewYwzlyhmak}_bos[ic789;T`xz311<17>Kdgdzj~R~}erabh`tXkdzT`h>?00]oqq:66;90Anabp`p\twctkhfn~Rmbp^nf4566We0?0=3:O`khvfzVzyi~mnldp\ghvXdl:;<0:75318IfijxhxT|k|c`nfvZejxVfn<=>>_mww8385;2Ghc`~nr^rqavefdlxTo`~Pld2344Yk}}6<2?=4MbmntdtXx{oxolbjr^antZjb89::Sa{{<9<17>Kdgdzj~R~}erabh`tXkdzT`h>?00]oqq:>6;80Anabp`p\twctkhfn~Rmbp^nf4565Wjs7<3<<;LaliuguWyxnnoces]`iuYkm9:;>Rmv<1<261=Jkfg{mQrdq`eicuWjg{Sak?010\g|:76V:9>6ClolrbvZvumzij`h|Pclr\h`678;Uhu1?1229Ngjkwi{U{~h}lamgq[fkwWeo;<=2:4433Dida}o}_qpfwfgkm{Uha}Qce1236Ze~484T?2^az8786:=1Fobcas]sv`udieoySnc_mg3454Xkp692R>=2:O`khvfzVzyi~mnldp\ghvXdl:;368IfijxhxT|k|c`nfvZejxVfn<=>=_mww8586::1Fobcas]sv`udieoySnc_mg3454Xd|~7=3<;;LaliuguWyxnnoces]`iuYkm9:;>Rbzt=3=575000?HeheykyS}|jsbcoawYdeyUgi=>?2^nvp959:=1Fobcas]sv`udieoySnc_mg3454Xd|~7?3?=b:O`khvfzVzyi~mnldp\ghvXdl:;0:47XAG\TQly=33:74Qly=1=67=Jkfg{mQrdq`eicuWjg{Sak?011\g|:36;80Anabp`p\twctkhfn~Rmbp^nf4564Wjs793<=;LaliuguWyxnnoces]`iuYkm9:;?Rmv<7<16>Kdgdzj~R~}erabh`tXkdzT`h>?02]`}919:;1Fobcas]sv`udieoySnc_mg3455Xkp632?<4MbmntdtXx{oxolbjr^antZjb89:8Snw39?00?HeheykyS}|jsbcoawYdeyUgi=>?3^nvp969:=1Fobcas]sv`udieoySnc_mg3455Xd|~7==0=3:O`khvfzVzyi~mnldp\ghvXdl:;<>Qcuu>2:75318IfijxhxT|k|c`nfvZejxVfn<=><_mww8185;2Ghc`~nr^rqavefdlxTo`~Pld2346Yk}}6>2?=4MbmntdtXx{oxolbjr^antZjb89:8Sa{{<7<17>Kdgdzj~R~}erabh`tXkdzT`h>?02]oqq:06;90Anabp`p\twctkhfn~Rmbp^nf4564We050=3:O`khvfzVzyi~mnldp\ghvXdl:;<>Qcuu>::4dKdgdzj~R|_bos[ic789:Tot2>>0`8IfijxhxT~}Qlmq]oa5678Vir0?0>b:O`khvfzVx{Snc_mg3456Xkp682?01]oqq:46;90Anabp`p\vuYdeyUgi=>?0^nvp9599VCEZR>>c:O`khvfzVydbRmbp^nw4561Wjs7<3?l;LaliuguWzeeSnc_mv3450Xkp6:2?07]`}9099m1Fobcas]pkkYdeyUgx=>?6^nvp9799m1Fobcas]pkkYdeyUgx=>?6^nvp959:81Fobcas]pkkYdeyUgx=>?6^nvp959WZ];=i5BcnosewYtggUha}Qct1232Zjr|5>5><5BcnosewYtggUha}Qct1232Zjr|5>5S^Y?1e9Ngjkwi{UxccQlmq]op567>Vf~x1;1209Ngjkwi{UxccQlmq]op567>Vf~x1;1_RU35a=Jkfg{mQ|oo]`iuYk|9:;:Rbzt=4=64=Jkfg{mQ|oo]`iuYk|9:;:Rbzt=4=[VQ79l1FxlPhqgq[vse89:;Sua}<02=5ZUP88k0A~{m_irfvZurj9:;3Dy~nRfes]pqg6789Usc26>03g?HurjVb{iQ|uc2345Yg{622Kt}kUc|h|Pst`3457Xpfx743?>189NwpdX`yoyS~{m0122[}iu404:=i5Bst`\lucuWzi<=>>_ymq8<86WZ];=85Bst`\huYt}k:;<=Qly=2=50=J{|hT`}Q|uc2345Ydq5;5=85Bst`\huYt}k:;<=Qly=0=50=J{|hT`}Q|uc2345Ydq595=85Bst`\huYt}k:;<=Qly=6=50=J{|hT`}Q|uc2345Ydq5?5=85Bst`\huYt}k:;<=Qly=4=50=J{|hT`}Q|uc2345Ydq5=5=85Bst`\huYt}k:;<=Qly=:=50=J{|hT`}Q|uc2345Ydq535=;5Bst`\huYt}k:;<=Qcuu>3:416:OpqgYkxVy~n=>?0^nvp9799?1FxlPlq]pqg6789Ugyy2=>048IvseWezTxl?012\hpr;;7;=7@}zb^ns[vse89:;Sa{{<5<22>Kt}kUg|R}zb1234Zjr|5?5=;5Bst`\huYt}k:;<=Qcuu>5:40?_mww8=86>2GxyoQcp^qvf5678Vf~x171179NwpdXdyUxyo>?01]{kw:768=0A~{m_mr\wpd789:Ttb|311<22>Kt}kUg|R}zb1234Z~hz5;5=;5Bst`\huYt}k:;<=Qwos>1:40?_ymq8186>2GxyoQcp^qvf5678Vrd~1;1179NwpdXdyUxyo>?01]{kw:168<0A~{m_mr\wpd789:Ttb|37?35?HurjVf{S~{m0123[}iu414::6C|uc]otZurj9:;Kt}kU{~h}lamgq[vse89:;Snw31?]qp4eKt}kU{~h}lamgq[vse89:;Snw33?]qp4eKt}kU{~h}lamgq[vse89:;Snw36?3`?HurjVzyi~mnldp\wpd789:Tot28>0a8IvseWyxnnoces]pqg6789Uhu1611b9NwpdXx{oxolbjr^qvf5678Vir040>e:OpqgYwzlyhmak}_rwa4567We0<>1259NwpdXx{oxolbjr^qvf5678Vf~x1??>^KMRZ66l2GxyoQrdq`eicuWzi<=>?_mww8785;2GxyoQrdq`eicuWzi<=>?_mww878XAG\T<Kt}kU{~h}lamgq[vse89:;Sa{{<4<17>Kt}kU{~h}lamgq[vse89:;Sa{{<4<\MKPX88n0A~{m_qpfwfgkm{Uxyo>?01]oqq:16;90A~{m_qpfwfgkm{Uxyo>?01]oqq:16VCEZR>>d:OpqgYwzlyhmak}_rwa4567We0:0=3:OpqgYwzlyhmak}_rwa4567We0:0PIOT\44b;:75;:ZOI^V::h6C|uc]sv`udieoyS~{m0123[iss4049?6C|uc]sv`udieoyS~{m0123[iss404TECXP00g8IvseWyxnnoces]pqg6789Usc2>0?3g?HurjVzyi~mnldp\wpd789:Ttb|31?3g?HurjVzyi~mnldp\wpd789:Ttb|32?3g?HurjVzyi~mnldp\wpd789:Ttb|33?3g?HurjVzyi~mnldp\wpd789:Ttb|34?3g?HurjVzyi~mnldp\wpd789:Ttb|35?3g?HurjVzyi~mnldp\wpd789:Ttb|36?3g?HurjVzyi~mnldp\wpd789:Ttb|37?3g?HurjVzyi~mnldp\wpd789:Ttb|38?3g?HurjVzyi~mnldp\wpd789:Ttb|39?3`?HurjVzyi~mnldp\wpd789;Tot2>>0d8IvseWyxnnoces]pqg6788Uhu1?1_sv2g>Kt}kU{~h}lamgq[vse89::Snw32?3`?HurjVzyi~mnldp\wpd789;Tot2<>0d8IvseWyxnnoces]pqg6788Uhu1=1_sv2g>Kt}kU{~h}lamgq[vse89::Snw34?3`?HurjVzyi~mnldp\wpd789;Tot2:>0a8IvseWyxnnoces]pqg6788Uhu1811b9NwpdXx{oxolbjr^qvf5679Vir0:0>c:OpqgYwzlyhmak}_rwa4566Wjs743?l;LqvfZvumzij`h|Pst`3457Xkp6221:751:ZOI^V::h6C|uc]sv`udieoyS~{m0122[iss4=49?6C|uc]sv`udieoyS~{m0122[iss4=4TECXP00f8IvseWyxnnoces]pqg6788Ugyy2:>318IvseWyxnnoces]pqg6788Ugyy2:>^KMRZ66l2GxyoQrdq`eicuWzi<=>>_mww8385;2GxyoQrdq`eicuWzi<=>>_mww838XAG\T<Kt}kU{~h}lamgq[vse89::Sa{{<9<17>Kt}kU{~h}lamgq[vse89::Sa{{<9<\MKPX88n0A~{m_qpfwfgkm{Uxyo>?00]oqq:>6;90A~{m_qpfwfgkm{Uxyo>?00]oqq:>6VCEZR>>e:OpqgYwzlyhmak}_rwa4566Wqey0<>11e9NwpdXx{oxolbjr^qvf5679Vrd~1?11e9NwpdXx{oxolbjr^qvf5679Vrd~1<11e9NwpdXx{oxolbjr^qvf5679Vrd~1=11e9NwpdXx{oxolbjr^qvf5679Vrd~1:11e9NwpdXx{oxolbjr^qvf5679Vrd~1;11e9NwpdXx{oxolbjr^qvf5679Vrd~1811e9NwpdXx{oxolbjr^qvf5679Vrd~1911e9NwpdXx{oxolbjr^qvf5679Vrd~1611e9NwpdXx{oxolbjr^qvf5679Vrd~1711b9NwpdXx{oxolbjr^qvf567:Vir0<0>f:OpqgYwzlyhmak}_rwa4565Wjs7=3Q}t0a8IvseWyxnnoces]pqg678;Uhu1<11b9NwpdXx{oxolbjr^qvf567:Vir0>0>f:OpqgYwzlyhmak}_rwa4565Wjs7?3Q}t0a8IvseWyxnnoces]pqg678;Uhu1:11b9NwpdXx{oxolbjr^qvf567:Vir080>f:OpqgYwzlyhmak}_rwa4565Wjs793Q}t0a8IvseWyxnnoces]pqg678;Uhu1811b9NwpdXx{oxolbjr^qvf567:Vir0:0>c:OpqgYwzlyhmak}_rwa4565Wjs743?l;LqvfZvumzij`h|Pst`3454Xkp6223<<;LqvfZvumzij`h|Pst`3454Xd|~7>3QFNW]35a=J{|hT|k|c`nfvZurj9:;>Rbzt=6=66=J{|hT|k|c`nfvZurj9:;>Rbzt=6=[LHQW9;o7@}zb^rqavefdlxTxl?010\hpr;>7;o7@}zb^rqavefdlxTxl?010\hpr;?7;o7@}zb^rqavefdlxTxl?010\hpr;07;o7@}zb^rqavefdlxTxl?010\hpr;17;n7@}zb^rqavefdlxTxl?010\|jt;994:h6C|uc]sv`udieoyS~{m0121[}iu484:h6C|uc]sv`udieoyS~{m0121[}iu4;4:h6C|uc]sv`udieoyS~{m0121[}iu4:4:h6C|uc]sv`udieoyS~{m0121[}iu4=4:h6C|uc]sv`udieoyS~{m0121[}iu4<4:h6C|uc]sv`udieoyS~{m0121[}iu4?4:h6C|uc]sv`udieoyS~{m0121[}iu4>4:h6C|uc]sv`udieoyS~{m0121[}iu414:h6C|uc]sv`udieoyS~{m0121[}iu404:o6C|uc]sv`udieoyS~{m0120[f;97;m7@}zb^rqavefdlxTxl?011\g|:66Vx=n5Bst`\twctkhfn~R}zb1237Ze~4;4:o6C|uc]sv`udieoyS~{m0120[f;;7;m7@}zb^rqavefdlxTxl?011\g|:46Vx=n5Bst`\twctkhfn~R}zb1237Ze~4=4:o6C|uc]sv`udieoyS~{m0120[f;=7;m7@}zb^rqavefdlxTxl?011\g|:26Vx=n5Bst`\twctkhfn~R}zb1237Ze~4?4:o6C|uc]sv`udieoyS~{m0120[f;?7;h7@}zb^rqavefdlxTxl?011\g|:?68i0A~{m_qpfwfgkm{Uxyo>?02]`}9?99l1FxlPpsgpgdjbzVy~n=>?3^nvp97768n0A~{m_qpfwfgkm{Uxyo>?02]oqq:56;90A~{m_qpfwfgkm{Uxyo>?02]oqq:56VCEZR>>d:OpqgYwzlyhmak}_rwa4564We090=3:OpqgYwzlyhmak}_rwa4564We090PIOT\44bQcuu>5:4bQcuu>4:4bQcuu>;:4bQcuu>::4cQwos>24;7c3Dy~nR~}erabh`tX{|h;<==Pxnp?5;7c3Dy~nR~}erabh`tX{|h;<==Pxnp?6;7c3Dy~nR~}erabh`tX{|h;<==Pxnp?7;7c3Dy~nR~}erabh`tX{|h;<==Pxnp?0;7c3Dy~nR~}erabh`tX{|h;<==Pxnp?1;7c3Dy~nR~}erabh`tX{|h;<==Pxnp?2;7c3Dy~nR~}erabh`tX{|h;<==Pxnp?3;7c3Dy~nR~}erabh`tX{|h;<==Pxnp?<;7c3Dy~nR~}erabh`tX{|h;<==Pxnp?=;723Dy~nR|_rwa4567Wjs7<3?:;LqvfZtwWzi<=>?_b{?5;723Dy~nR|_rwa4567Wjs7>3?:;LqvfZtwWzi<=>?_b{?7;723Dy~nR|_rwa4567Wjs783?:;LqvfZtwWzi<=>?_b{?1;723Dy~nR|_rwa4567Wjs7:3?:;LqvfZtwWzi<=>?_b{?3;723Dy~nR|_rwa4567Wjs743?:;LqvfZtwWzi<=>?_b{?=;713Dy~nR|_rwa4567We0=0>7:OpqgYuxVy~n=>?0^nvp97768<0A~{m_sr\wpd789:T`xz31?35?HurjVx{S~{m0123[iss4;4::6C|uc]qtZurj9:;Pltv?1;713Dy~nR|_rwa4567We0;0>6:OpqgYuxVy~n=>?0^nvp9199?1FxlPrq]pqg6789Ugyy27>048IvseW{zTxl?012\hpr;17;=7@}zb^ps[vse89:;Sua}<1<23>Kt}kUy|R}zb1234Z~hz5;;2<84Mrwa[wvX{|h;<=>Pxnp?5;713Dy~nR|_rwa4567Wqey0?0>6:OpqgYuxVy~n=>?0^zlv9599?1FxlPrq]pqg6789Usc2;>048IvseW{zTxl?012\|jt;=7;=7@}zb^ps[vse89:;Sua}<7<22>Kt}kUy|R}zb1234Z~hz5=5=;5Bst`\vuYt}k:;<=Qwos>;:40:4N0260>H68>>0B<>65:L254733G;:?95A1070?K75<2D:?>:4N0160>H6;>>0B<=63:L201=I9=;?7C?;359M51333G;?;95A15;7?K72;=1E=8:;;O3611=I9<33G;>5>5A1768J407;2D:4>5A2318J7243G8>?6@=629M6255A4908J05>5A6218J3343G<397C6<;O:27>H?;:1E48=4N950?K>>;2D2=>5A9218J<343G3tkQC4:RBVQg384RF@?7;02XLN191a:PDFZ6XGK_Mm6\HB^3\KGSAi2XLNRT@JV9TCO[Ia:PDFZ2XGK_Mm6\HB^7\KGSAi2XLNR8POCWEe>T@JV=TCO[I9:Pfwpjs494j7_k|umv?4;7f3[oxyaz30?0b?Wct}e~7<3=n;Sgpqir;994i7_k|umv?5586j2Xnxb{<02=6g=Umzgx1??>2;8V`urd}6:2l5]erwop9799h1Yi~{ct=3=6d=Umzgx1?1389Qavsk|585m6\jstnw8786i2Xnxb{<3<1e>Tb{|f0?0<9:Pfwpjs4:4j7_k|umv?7;7f3[oxyaz33?0b?Wct}e~7?3=6;Sgpqir;<7k0^h}zlu>7:4g3c8V`urd}6?2>74Rdqvhq:26h1Yi~{ct=7=5d=Umzgx1;12`9Qavsk|5?5?45]erwop909i2Xnxb{<7<2e>Tb{|f0;0=a:Pfwpjs4?4856\jstnw828f3[oxyaz37?3b?Wct}e~7;30c8V`urd}632?o4Rdqvhq:?6:30^h}zlu>::d=Umzgx1711`9Qavsk|535>l5]erwop9?9;81X:6]@USAF6d=Txlk`iJ}iwgq+HgclVzyi~mnldp\eab789:Tot2?>3`8WucfclxO~dxjr.Ob`aYwzlyhmak}_`fg4567Wjs7==0=b:QsadmbzMxbzh| M`fg[utb{jkgiQnde2345Ydq5;:2?l4Sqgbo`tCz`|n~"Cnde]sv`udieoySljk0123[f;9;49n6]e`ifvAtn~lx$Aljk_qpfwfgkm{Ujhi>?01]`}9746;h0_}knkdpGvlpbz&GjhiQrdq`eicuWhno<=>?_b{?5185j2Y{ilejrEpjr`t(EhnoS}|jsbcoawYflm:;<=Qly=36:7d<[yojgh|Krhtfv*KflmU{~h}lamgq[dbc89:;Snw317<1f>Uwmhan~I|fvdp,IdbcWyxnnoces]b`a6789Uhu1?8>3`8WucfclxO~dxjr.Ob`aYwzlyhmak}_`fg4567Wjs7=50=b:QsadmbzMxbzh| M`fg[utb{jkgiQnde2345Ydq5;22?o4Sqgbo`tCz`|n~"Cnde]sv`udieoySljk0123[f;978i7^~jajgq@woqm{%FmijPpsgpgdjbzVkoh=>?0^az8769:k1X|hodesFqmscu'DkohR~}erabh`tXimn;<=>Pcx>15;4e3Zznmfk}Dskuaw)JimnT|k|c`nfvZgcl9:;l5\pdchawBuaoy#@okd^rqavefdlxTmij?012\g|:36;k0_}knkdpGvlpbz&GjhiQrdq`eicuWhno<=>?_b{?1;4f3Zznmfk}Dskuaw)JimnT|k|c`nfvZgcl9:;Uwmhan~I|fvdp,IdbcWyxnnoces]b`a6789Uhu1912`9Pt`glm{Nye{k}/Lcg`Zvumzij`h|Paef3456Xkp632?o4Sqgbo`tCz`|n~"Cnde]sv`udieoySljk0123[f;178i7^~jajgq@woqm{%FmijPpsgpgdjbzVkoh=>?0^nvp969:k1X|hodesFqmscu'DkohR~}erabh`tXimn;<=>Pxnp?4;4d3Zznmfk}Dskuaw)JimnT|k|c`nfvZgcl9:;Uwmhan~I|fvdp,IdbcWyxnnoces]b`a6789Usc2>3?0`?VvbiboyHgyes-NeabXx{oxolbjr^cg`5678Vrd~1?;>3a8WucfclxO~dxjr.Ob`aYwzlyhmak}_`fg4567Wqey0<;12b9Pt`glm{Nye{k}/Lcg`Zvumzij`h|Paef3456Xpfx7=;0=c:QsadmbzMxbzh| M`fg[utb{jkgiQnde2345Yg{6:;3o5\pdchawBuaoy#@okd^rqavefdlxTmij?012\|jt;978h7^~jajgq@woqm{%FmijPpsgpgdjbzVkoh=>?0^zlv9476;i0_}knkdpGvlpbz&GjhiQrdq`eicuWhno<=>?_ymq8779:j1X|hodesFqmscu'DkohR~}erabh`tXimn;<=>Pxnp?6785k2Y{ilejrEpjr`t(EhnoS}|jsbcoawYflm:;<=Qwos>17;4d3Zznmfk}Dskuaw)JimnT|k|c`nfvZgcl9:;Uwmhan~I|fvdp,IdbcWyxnnoces]b`a6789Usc2<>3`8WucfclxO~dxjr.Ob`aYwzlyhmak}_`fg4567Wqey090=b:QsadmbzMxbzh| M`fg[utb{jkgiQnde2345Yg{6>2?l4Sqgbo`tCz`|n~"Cnde]sv`udieoySljk0123[}iu4?49n6]e`ifvAtn~lx$Aljk_qpfwfgkm{Ujhi>?01]{kw:06;h0_}knkdpGvlpbz&GjhiQrdq`eicuWhno<=>?_ymq8=85j2Y{ilejrEpjr`t(EhnoS}|jsbcoawYflm:;<=Qwos>::7d<[yojgh|Krhtfv*Kdgdzj~R}`uu]`iuY`m9:;Uwmhan~I|fvdp,IfijxhxTb{{_bos[bc789:Tot2?>^pw6f=Txlk`iJ}iwgq+HeheykyS~azt^antZab89:;Snw311<1f>Uwmhan~I|fvdp,IfijxhxTb{{_bos[bc789:Tot2>>3`8WucfclxO~dxjr.O`khvfzVydyyQlmq]da5678Vir0?0=e:QsadmbzMxbzh| MbmntdtX{fSnc_fg3456Xkp692R|{2c9Pt`glm{Nye{k}/LaliuguWze~xRmbp^ef4567Wjs7?35>o5\pdchawBuaoy#@m`mqcq[vir|Vif|Rij0123[f;=78i7^~jajgq@woqm{%Fobcas]pkprXkdzTkh>?01]`}909:k1X|hodesFqmscu'Dida}o}_rmvpZejxVmn<=>?_b{?3;4e3Zznmfk}Dskuaw)Jkfg{mQ|otv\ghvXol:;<=Qly=:=6g=Txlk`iJ}iwgq+HeheykyS~azt^antZab89:;Snw39?0g?VvbiboyHgyes-Ngjkwi{UxcxzPclr\c`6789Ugyy2>0?0`?VvbiboyHgyes-Ngjkwi{UxcxzPclr\c`6789Ugyy2>>3a8WucfclxO~dxjr.O`khvfzVydyyQlmq]da5678Vf~x1=12b9Pt`glm{Nye{k}/LaliuguWze~xRmbp^ef4567We090=c:QsadmbzMxbzh| MbmntdtX{fSnc_fg3456Xd|~793n5\pdchawBuaoy#@m`mqcq[vir|Vif|Rij0123[iss4149o6]e`ifvAtn~lx$Anabp`p\wjssWjg{Sjk?012\hpr;17;=7^~jajgq@woqm{%[@LWPiot2`>Uwmhan~I|fvdp,TIG^W`d}=RGAV^22e>Uwmhan~I|fvdp,twctkhfn~1>11c9Pt`glm{Nye{k}/qpfwfgkm{6:<3?m;RrfencuL{c}i!rdq`eicu48;5=o5\pdchawBuaoy#}|jsbcoaw:6:7;i7^~jajgq@woqm{%{~h}lamgq84599k1X|hodesFqmscu'yxnnoces>20;7e3Zznmfk}Dskuaw)wzlyhmak}<07=5g=Txlk`iJ}iwgq+utb{jkgi2>6?3a?VvbiboyHgyes-sv`udieoy0<911c9Pt`glm{Nye{k}/qpfwfgkm{6:43?m;RrfencuL{c}i!rdq`eicu4835=l5\pdchawBuaoy#}|jsbcoaw:668h0_}knkdpGvlpbz&zyi~mnldp?6586j2Y{ilejrEpjr`t(x{oxolbjr=02:4d<[yojgh|Krhtfv*vumzij`h|323<2f>Uwmhan~I|fvdp,twctkhfn~1<<>0`8WucfclxO~dxjr.rqavefdlx7>90>a:QsadmbzMxbzh| psgpgdjbz585=l5\pdchawBuaoy#}|jsbcoaw:468k0_}knkdpGvlpbz&zyi~mnldp?0;7f3Zznmfk}Dskuaw)wzlyhmak}<4<2e>Uwmhan~I|fvdp,twctkhfn~1811`9Pt`glm{Nye{k}/qpfwfgkm{6<2Uwmhan~I|fvdp,twctkhfn~R?>a:QsadmbzMxbzh| psgpgdjbzV;;=l5\pdchawBuaoy#}|jsbcoawY698k0_}knkdpGvlpbz&zyi~mnldp\577f3Zznmfk}Dskuaw)wzlyhmak}_012e>Uwmhan~I|fvdp,twctkhfn~R?;1`9Pt`glm{Nye{k}/qpfwfgkm{U:990;8WucfclxO~dxjr.rqavefdlxT>9?6;RrfencuL{c}i!rdq`eicuW:;27^~jajgq@woqm{%{~h}lamgq[17>3Zznmfk}Dskuaw)wzlyhmak}_43:?VvbiboyHgyes-sv`udieoyS;?6;RrfencuL{c}i!rdq`eicuW>;27^~jajgq@woqm{%{~h}lamgq[=7>3Zznmfk}Dskuaw)wzlyhmak}_801?VvbiboyHgyes-sv`udieoySljk01238585;2Y{ilejrEpjr`t(x{oxolbjr^cg`56785;;2?=4Sqgbo`tCz`|n~"~}erabh`tXimn;<=>310<17>Uwmhan~I|fvdp,twctkhfn~Rokd12349756;90_}knkdpGvlpbz&zyi~mnldp\eab789:7=>0=3:QsadmbzMxbzh| psgpgdjbzVkoh=>?0=37:75<[yojgh|Krhtfv*vumzij`h|Paef3456;9<49?6]e`ifvAtn~lx$|k|c`nfvZgcl9:;<1?9>318WucfclxO~dxjr.rqavefdlxTmij?012?5285;2Y{ilejrEpjr`t(x{oxolbjr^cg`56785;32?=4Sqgbo`tCz`|n~"~}erabh`tXimn;<=>318<16>Uwmhan~I|fvdp,twctkhfn~Rokd1234979::1X|hodesFqmscu'yxnnoces]b`a678969<3<<;RrfencuL{c}i!rdq`eicuWhno<=>?<33=66=Txlk`iJ}iwgq+utb{jkgiQnde2345:5:7887^~jajgq@woqm{%{~h}lamgq[dbc89:;0?=1229Pt`glm{Nye{k}/qpfwfgkm{Ujhi>?01>10;453Zznmfk}Dskuaw)wzlyhmak}_`fg45674;49>6]e`ifvAtn~lx$|k|c`nfvZgcl9:;<1=1239Pt`glm{Nye{k}/qpfwfgkm{Ujhi>?01>7:74<[yojgh|Krhtfv*vumzij`h|Paef3456;=7897^~jajgq@woqm{%{~h}lamgq[dbc89:;0;0=2:QsadmbzMxbzh| psgpgdjbzVkoh=>?0=5=67=Txlk`iJ}iwgq+utb{jkgiQnde2345:?6;80_}knkdpGvlpbz&zyi~mnldp\eab789:753<<;RrfencuL{c}i!rdq`eicuWds<=>?<02=61=Txlk`iJ}iwgq+utb{jkgiQbuy2345:687;9?6]e`ifvAtn~lx$|k|c`nfvZkrp9:;<1?>>368WucfclxO~dxjr.rqavefdlxTaxv?012?5486::1X|hodesFqmscu'yxnnoces]nq}67896:>3<;;RrfencuL{c}i!rdq`eicuWds<=>?<00=575<[yojgh|Krhtfv*vumzij`h|Pmtz3456;9:4986]e`ifvAtn~lx$|k|c`nfvZkrp9:;<1?<>000?VvbiboyHgyes-sv`udieoyS`{w01238429:=1X|hodesFqmscu'yxnnoces]nq}67896:83?=3:QsadmbzMxbzh| psgpgdjbzVg~t=>?0=36:72<[yojgh|Krhtfv*vumzij`h|Pmtz3456;9<4:>>5\pdchawBuaoy#}|jsbcoawYj}q:;<=2>6?07?VvbiboyHgyes-sv`udieoyS`{w012384099;90_}knkdpGvlpbz&zyi~mnldp\ip~789:7=:0=4:QsadmbzMxbzh| psgpgdjbzVg~t=>?0=34:4443Zznmfk}Dskuaw)wzlyhmak}_lw{45674825>95\pdchawBuaoy#}|jsbcoawYj}q:;<=2>8?317>Uwmhan~I|fvdp,twctkhfn~Rczx123497>6;>0_}knkdpGvlpbz&zyi~mnldp\ip~789:7=40>239Pt`glm{Nye{k}/qpfwfgkm{Ufyu>?01>2:75<[yojgh|Krhtfv*vumzij`h|Pmtz3456;97;9?6]e`ifvAtn~lx$|k|c`nfvZkrp9:;<1368WucfclxO~dxjr.rqavefdlxTaxv?012?6586::1X|hodesFqmscu'yxnnoces]nq}678969=3<;;RrfencuL{c}i!rdq`eicuWds<=>?<33=575<[yojgh|Krhtfv*vumzij`h|Pmtz3456;:;4986]e`ifvAtn~lx$|k|c`nfvZkrp9:;<1<=>000?VvbiboyHgyes-sv`udieoyS`{w01238759:=1X|hodesFqmscu'yxnnoces]nq}678969?3?=3:QsadmbzMxbzh| psgpgdjbzVg~t=>?0=07:72<[yojgh|Krhtfv*vumzij`h|Pmtz3456;:=4:>?5\pdchawBuaoy#}|jsbcoawYj}q:;<=2=>318WucfclxO~dxjr.rqavefdlxTaxv?012?6;75:2Y{ilejrEpjr`t(x{oxolbjr^ov|5678595>>5\pdchawBuaoy#}|jsbcoawYj}q:;<=2<>001?VvbiboyHgyes-sv`udieoyS`{w01238185;2Y{ilejrEpjr`t(x{oxolbjr^ov|56785>5=?<4Sqgbo`tCz`|n~"~}erabh`tXe|r;<=>35?00?VvbiboyHgyes-sv`udieoyS`{w01238086:;1X|hodesFqmscu'yxnnoces]nq}67896=2?=4Sqgbo`tCz`|n~"~}erabh`tXe|r;<=>36?316>Uwmhan~I|fvdp,twctkhfn~Rczx1234919::1X|hodesFqmscu'yxnnoces]nq}67896<2<<=;RrfencuL{c}i!rdq`eicuWds<=>?<9<17>Uwmhan~I|fvdp,twctkhfn~Rczx12349>99;80_}knkdpGvlpbz&zyi~mnldp\ip~789:753<<;RrfencuL{c}i!rdq`eicuWds<=>?<8<257=Txlk`iJ}iwgq+vir|8n0_}knkdpGvlpbz&ydyyQlmq]da56788k0_}knkdpGvlpbz&ydyyQaou2344463Zznmfk}Dskuaw)tg|~Tbbz?0132[LHQW9>0XT^Jc:WPAWYQAZCI@H=4VBAe?SAFL{c}i!IR@OF55=QOHNye{k}/GPBI@76<2\LMI|fvdp,BWGJMVH^JJ?8;WEB@woqm{%M^LCJ_omw4566901]KLJ}iwgq+CTFELUecy>?00315<=QOHNye{k}/GPBI@Yig}:;<<<:1e9UCDBuaoy#K\NMD]mkq67888>S^Y?c:TDEAtn~lx$D^?;;WEB@woqm{%C_Road123440<^NKO~dxjr.JP[dhc89:;=??n;WEB@woqm{%C_Road123444X[^:::6XHAEpjr`t(@ZUjbi>?010;5d=QOHNye{k}/IQ\ekb789:94R]X00`8RBGCz`|n~"F\_`lg4567:1UX[=?>b:TDEAtn~lx$D^Qnne23454?WZ];>P@IMxbzh| M`fg[]Yflm:;<=Qly=0=64=QOHNye{k}/Lcg`Z^Ximn;<=>Pcx>1:Zts9l1]KLJ}iwgq+HgclVRTmij?012\g|:46;;0ZJOKrhtfv*KflmUSSljk0123[f;;7Uyx;WEB@woqm{%FmijPX^cg`5678Vir090Pru3f?SAFL{c}i!Baef\\Zgcl9:;P@IMxbzh| M`fg[]Yflm:;<=Qly=7=[wr6m2\LMI|fvdp,IdbcWQUjhi>?01]`}909:81]KLJ}iwgq+HgclVRTmij?012\g|:16Vx=h5YG@Fqmscu'DkohRVPaef3456Xkp6<2??4VFCGvlpbz&GjhiQW_`fg4567Wjs7;3Q}t0g8RBGCz`|n~"Cnde][[dbc89:;Snw38?02?SAFL{c}i!Baef\\Zgcl9:;?0^nvp969:<1]KLJ}iwgq+HgclVRTmij?012\hpr;87UBB[Q?1g9UCDBuaoy#@okd^Z\eab789:Ttb|30?3e?SAFL{c}i!Baef\\Zgcl9:;Pxnp?6;7a3_MJHgyes-NeabXPVkoh=>?0^zlv9599o1]KLJ}iwgq+HgclVRTmij?012\|jt;<7;m7[INDskuaw)JimnTTRokd1234Z~hz5?5=k5YG@Fqmscu'DkohRVPaef3456Xpfx7:3?i;WEB@woqm{%FmijPX^cg`5678Vrd~1911g9UCDBuaoy#@okd^Z\eab789:Ttb|38?3e?SAFL{c}i!Baef\\Zgcl9:;Pcx>3:4c<^NKO~dxjr.Ob`aY^Whno<=>?_b{?5;463_MJHgyes-NeabXQVkoh=>?0^az848Xz};n7[INDskuaw)JimnTURokd1234Ze~4;49=6XHAEpjr`t(EhnoSTQnde2345Ydq585Sz>e:TDEAtn~lx$Aljk_X]b`a6789Uhu1=1209UCDBuaoy#@okd^[\eab789:Tot2<>^pw5`=QOHNye{k}/Lcg`Z_Ximn;<=>Pcx>7:77<^NKO~dxjr.Ob`aY^Whno<=>?_b{?0;Yu|8o0ZJOKrhtfv*KflmURSljk0123[f;=78:7[INDskuaw)JimnTURokd1234Ze~4<4T~y?j;WEB@woqm{%FmijPY^cg`5678Vir0;0=1:TDEAtn~lx$Aljk_X]b`a6789Uhu181_sv2a>P@IMxbzh| M`fg[\Yflm:;<=Qly=5=64=QOHNye{k}/Lcg`Z_Ximn;<=>Pcx>4:Zts9l1]KLJ}iwgq+HgclVSTmij?012\g|:?6;;0ZJOKrhtfv*KflmURSljk0123[f;07Uyx^KMRZ66n2\LMI|fvdp,IdbcWPUjhi>?01]{kw:768l0ZJOKrhtfv*KflmURSljk0123[}iu484:j6XHAEpjr`t(EhnoSTQnde2345Yg{6920>f:TDEAtn~lx$Aljk_X]b`a6789Usc2;>0d8RBGCz`|n~"Cnde]Z[dbc89:;Sua}<4<2b>P@IMxbzh| M`fg[\Yflm:;<=Qwos>5:4`<^NKO~dxjr.Ob`aY^Whno<=>?_ymq8286n2\LMI|fvdp,IdbcWPUjhi>?01]{kw:?68l0ZJOKrhtfv*KflmURSljk0123[}iu404:o6XHAEpjr`t(Eje~byQgosky[f;87;h7[INDskuaw)JkfexRf`rhx\g|:668l0ZJOKrhtfv*Kdg|dSea}i{]`}979W{~:o6XHAEpjr`t(Eje~byQgosky[f;:7;m7[INDskuaw)JkfexRf`rhx\g|:56Vx=n5YG@Fqmscu'DidyczPhnpj~Ze~4:4:j6XHAEpjr`t(Eje~byQgosky[f;;7Uyx5=k5YG@Fqmscu'DidyczPhnpj~Ze~4=4T~y?l;WEB@woqm{%Fob{at^jlvl|Xkp6>2c:TDEAtn~lx$Anaznu]kkwo}Wjs7:3?i;WEB@woqm{%Fob{at^jlvl|Xkp6=2R|{1b9UCDBuaoy#@m`uov\ljtnrVir0:0>f:TDEAtn~lx$Anaznu]kkwo}Wjs7;3Q}t0a8RBGCz`|n~"Clotlw[miuasUhu1611g9UCDBuaoy#@m`uov\ljtnrVir050Pru3g?SAFL{c}i!BcnwmpZnhz`pT`xz30?00?SAFL{c}i!BcnwmpZnhz`pT`xz30?]JJSY79m1]KLJ}iwgq+Heh}g~Tdb|fz^zlv9699m1]KLJ}iwgq+Heh}g~Tdb|fz^zlv9799m1]KLJ}iwgq+Heh}g~Tdb|fz^zlv9499m1]KLJ}iwgq+Heh}g~Tdb|fz^zlv9599m1]KLJ}iwgq+Heh}g~Tdb|fz^zlv9299m1]KLJ}iwgq+Heh}g~Tdb|fz^zlv9399m1]KLJ}iwgq+Heh}g~Tdb|fz^zlv9099m1]KLJ}iwgq+Heh}g~Tdb|fz^zlv9199m1]KLJ}iwgq+Heh}g~Tdb|fz^zlv9>99m1]KLJ}iwgq+Heh}g~Tdb|fz^zlv9?9:91]KLJ}iwgq+Heh}g~Tdb|fz^zlv9?9W{~:n6XHAEpjr`t(Eje~byQyesv\g|:768h0ZJOKrhtfv*Kdg|dS{k}t^az8486m2\LMI|fvdp,Ifirf}U}izPcx>2:Zts9k1]KLJ}iwgq+Heh}g~Tzh|{_b{?6;7b3_MJHgyes-Ngjsi|V|n~yQly=0=[wr6j2\LMI|fvdp,Ifirf}U}izPcx>0:4c<^NKO~dxjr.O`kphsWoyxRmv<2<\vq7e3_MJHgyes-Ngjsi|V|n~yQly=6=5`=QOHNye{k}/LalqkrX~lxSnw34?]qp4d<^NKO~dxjr.O`kphsWoyxRmv<4<2a>P@IMxbzh| MbmvjqYqm{~Tot2:>^pw5g=QOHNye{k}/LalqkrX~lxSnw36?3f?SAFL{c}i!BcnwmpZpbz}Uhu181_sv2f>P@IMxbzh| MbmvjqYqm{~Tot28>0g8RBGCz`|n~"Clotlw[scu|Vir0:0Pru3a?SAFL{c}i!BcnwmpZpbz}Uhu1611d9UCDBuaoy#@m`uov\r`tsWjs743Q}t0a8RBGCz`|n~"Clotlw[scu|Vf~x1>1239UCDBuaoy#@m`uov\r`tsWe0=0PIOT\44e<^NKO~dxjr.O`kphsWoyxRv`r=2=5f=QOHNye{k}/LalqkrX~lxSua}<0<2g>P@IMxbzh| MbmvjqYqm{~Ttb|32?3`?SAFL{c}i!BcnwmpZpbz}Usc2<>0a8RBGCz`|n~"Clotlw[scu|Vrd~1:11b9UCDBuaoy#@m`uov\r`tsWqey080>c:TDEAtn~lx$Anaznu]uawrXpfx7:3?l;WEB@woqm{%Fob{at^tfvqYg{6<2>368RBGCz`|n~"C|uc][[dbc{|h;<=>Pcx>2:Zts:81]KLJ}iwgq+HurjVRTmij|uc2345Ydq585><5YG@Fqmscu'Dy~nRVPaefpqg6789Uhu1=1209UCDBuaoy#@}zb^Z\eabt}k:;<=Qly=6=61=QOHNye{k}/LqvfZ^Ximnxyo>?01]`}929W{~9=6XHAEpjr`t(EziSUQndeqvf5678Vir080=1:TDEAtn~lx$A~{m_Y]b`aurj9:;P@IMxbzh| Mrwa[]Yflmy~n=>?0^az8285<2\LMI|fvdp,IvseWQUjhi}zb1234Ze~4>4T~y<>;WEB@woqm{%FxlPX^cg`vse89:;Snw38?01?SAFL{c}i!Bst`\\Zgclzi<=>?_mww878502\LMI|fvdp,IvseWQUjhi}zb1234Zjr|585SD@Y_101?SAFL{c}i!Bst`\\Zgclzi<=>?_mww868502\LMI|fvdp,IvseWQUjhi}zb1234Zjr|595SD@Y_101?SAFL{c}i!Bst`\\Zgclzi<=>?_mww808502\LMI|fvdp,IvseWQUjhi}zb1234Zjr|5?5SD@Y_101?SAFL{c}i!Bst`\\Zgclzi<=>?_mww838502\LMI|fvdp,IvseWQUjhi}zb1234Zjr|5<5SD@Y_101?SAFL{c}i!Bst`\\Zgclzi<=>?_mww8=8502\LMI|fvdp,IvseWQUjhi}zb1234Zjr|525SD@Y_101?SAFL{c}i!Bst`\\Zgclzi<=>?_mww8<8502\LMI|fvdp,IvseWQUjhi}zb1234Zjr|535SD@Y_101?SAFL{c}i!Bst`\\Zgclzi<=>?_ymq8485:2\LMI|fvdp,IvseWQUjhi}zb1234Z~hz585>?5YG@Fqmscu'Dy~nRVPaefpqg6789Usc2<>308RBGCz`|n~"C|uc][[dbc{|h;<=>Pxnp?0;453_MJHgyes-NwpdXPVkoh~{m0123[}iu4<49>6XHAEpjr`t(EziSUQndeqvf5678Vrd~181239UCDBuaoy#@}zb^Z\eabt}k:;<=Qwos>4:74<^NKO~dxjr.OpqgY_Whnoxl?012\|jt;07897[INDskuaw)J{|hTTRokdrwa4567Wqey040=1:TDEAtn~lx$A~{m_X]b`aurj9:;P@IMxbzh| Mrwa[\Yflmy~n=>?0^az848Xz}8:7[INDskuaw)J{|hTURokdrwa4567Wjs7>3<>;WEB@woqm{%FxlPY^cg`vse89:;Snw33?02?SAFL{c}i!Bst`\]Zgclzi<=>?_b{?0;463_MJHgyes-NwpdXQVkoh~{m0123[f;=78?7[INDskuaw)J{|hTURokdrwa4567Wjs793Q}t338RBGCz`|n~"C|uc]Z[dbc{|h;<=>Pcx>5:77<^NKO~dxjr.OpqgY^Whnoxl?012\g|:06;;0ZJOKrhtfv*Kt}kURSljkst`3456Xkp632?<4VFCGvlpbz&GxyoQV_`fgwpd789:T`xz32?0;?SAFL{c}i!Bst`\]Zgclzi<=>?_mww878XAG\T?_mww868XAG\T?_mww818XAG\T?_mww838XAG\T?_mww828XAG\T?_mww8=8XAG\T?_mww8<8XAG\T?_ymq8785:2\LMI|fvdp,IvseWPUjhi}zb1234Z~hz595>?5YG@Fqmscu'Dy~nRWPaefpqg6789Usc2;>308RBGCz`|n~"C|uc]Z[dbc{|h;<=>Pxnp?1;453_MJHgyes-NwpdXQVkoh~{m0123[}iu4?49>6XHAEpjr`t(EziSTQndeqvf5678Vrd~191239UCDBuaoy#@}zb^[\eabt}k:;<=Qwos>;:74<^NKO~dxjr.OpqgY^Whnoxl?012\|jt;17o0ZJOKrhtfv*H698n0ZJOKrhtfv*H6:j1]KLJ}iwgq+K2d3_MJHgyes-M<46<^NKO~dxjr.PDF919n2\LMI|fvdp,VBDX?890ZJOKrhtfv*Tb{|f0=0>4:TDEAtn~lx$^h}zlu>3:4743_MJHgyes-Qavsk|5;5=95YG@Fqmscu'[oxyaz31?327>P@IMxbzh| Rdqvhq:568>0ZJOKrhtfv*Tb{|f0?0>129UCDBuaoy#_k|umv?7;733_MJHgyes-Qavsk|595=<=4VFCGvlpbz&Xnxb{<5<20>P@IMxbzh| Rdqvhq:368;87[INDskuaw)Umzgx1;1159UCDBuaoy#_k|umv?1;76;2\LMI|fvdp,V`urd}6=2<:4VFCGvlpbz&Xnxb{<7<256=QOHNye{k}/Sgpqir;?7;?7[INDskuaw)Umzgx1911018RBGCz`|n~"\jstnw8=86<2\LMI|fvdp,V`urd}6320ZJOKrhtfv*PTWhdo<=>?149UCDBuaoy#[]Paof345669>1]KLJ}iwgq+SUXign;<=>Pf4`8RBGCz`|n~"Y>3:TDEAtn~lx$[R``t123542<^NKO~dxjr.U\jjr789;:i6XHAEpjr`t(P5:5i6XHAEpjr`t(P5;5i6XHAEpjr`t(P585i6XHAEpjr`t(P595i6XHAEpjr`t(P5>5i6XHAEpjr`t(P5?5i6XHAEpjr`t(P5<5i6XHAEpjr`t(P5=5i6XHAEpjr`t(P525i6XHAEpjr`t(P535h6XHAEpjr`t(PV:o7[INDskuaw)_W8n0ZJOKrhtfv*^X:m1]KLJ}iwgq+]Y4l2\LMI|fvdp,\Z2c3_MJHgyes-[[0b<^NKO~dxjr.Z\2a=QOHNye{k}/Y]4`>P@IMxbzh| X^:g?SAFL{c}i!W_835?SAFL{c}i!W_`fg4567494::6XHAEpjr`t(PVkoh=>?0=3=53=QOHNye{k}/Y]b`a6789692<84VFCGvlpbz&RTmij?012?7;713_MJHgyes-[[dbc89:;090>6:TDEAtn~lx$TRokd12349399?1]KLJ}iwgq+]Yflm:;<=29>048RBGCz`|n~"VPaef3456;?7;=7[INDskuaw)_Whno<=>?<9<22>P@IMxbzh| X^cg`5678535=45YG@Fqmscu'QUjhi}zb1234979901]KLJ}iwgq+]Yflmy~n=>?0=0=5<=QOHNye{k}/Y]b`aurj9:;<1=1189UCDBuaoy#UQndeqvf56785>5=45YG@Fqmscu'QUjhi}zb1234939901]KLJ}iwgq+]Yflmy~n=>?0=4=5<=QOHNye{k}/Y]b`aurj9:;<191189UCDBuaoy#UQndeqvf5678525=45YG@Fqmscu'QUjhi}zb12349?99:1]KLJ}iwgq+]Yffm:;<=?8;WEB@woqm{%SSl`k012354769?1]KLJ}iwgq+]Yffm:;<=?>2048RBGCz`|n~"VPaof34566:?;=7[INDskuaw)_Whdo<=>?15321>P@IMxbzh| X^cm`56788?:86XHAEpjr`t(PVkeh=>?0336?SAFL{c}i!W_`lg4567:>;37[INDskuaw)_Whdo<=>?2^QT443<^NKO~dxjr.Z\ekb789:?<<;4VFCGvlpbz&RTmcj?0126043<^NKO~dxjr.Z\ekb789:<;<;4VFCGvlpbz&RTmcj?012;040<^NKO~dxjr.Z\ekb789:3862d9UCDBuaoy#T2?>d9UCDBuaoy#T2>>d9UCDBuaoy#T2=>d9UCDBuaoy#T2<>d9UCDBuaoy#T2;>d9UCDBuaoy#T2:>d9UCDBuaoy#T29>d9UCDBuaoy#T28>d9UCDBuaoy#T27>d9UCDBuaoy#T26>e9UCDBuaoy#TQ?d:TDEAtn~lx$UR?k;WEB@woqm{%RS?j4VFCGvlpbz&ST?i5YG@Fqmscu'PU?h6XHAEpjr`t(QV?o7[INDskuaw)^W?n0ZJOKrhtfv*_X?m1]KLJ}iwgq+\Y?l2\LMI|fvdp,]Z?6>2\LMI|fvdp,]Zgcl9:;<1>1179UCDBuaoy#TQnde2345:668<0ZJOKrhtfv*_Ximn;<=>32?35?SAFL{c}i!V_`fg45674:4::6XHAEpjr`t(QVkoh=>?0=6=53=QOHNye{k}/X]b`a67896>2<84VFCGvlpbz&STmij?012?2;713_MJHgyes-Z[dbc89:;0:0>6:TDEAtn~lx$URokd12349>99?1]KLJ}iwgq+\Yflm:;<=26>0;8RBGCz`|n~"WPaefpqg67896:2<74VFCGvlpbz&STmij|uc2345:56830ZJOKrhtfv*_Ximnxyo>?01>0:4?<^NKO~dxjr.[\eabt}k:;<=2;>0;8RBGCz`|n~"WPaefpqg67896>2<74VFCGvlpbz&STmij|uc2345:16830ZJOKrhtfv*_Ximnxyo>?01>4:4?<^NKO~dxjr.[\eabt}k:;<=27>0;8RBGCz`|n~"WPaefpqg6789622<<4VFCGvlpbz&bd~dt30?31?SAFL{c}i!gosky8486:2\LMI|fvdp,ljtnr585=?5YG@Fqmscu'aeyew2<>008RBGCz`|n~"f`rhx?0;753_MJHgyes-kkwo}4<4:>6XHAEpjr`t(`fxbv181139UCDBuaoy#ea}i{>4:44<^NKO~dxjr.jlvl|;07;97[INDskuaw)og{cq040>1:TDEAtn~lx$db|fz^225>P@IMxbzh| hnpj~Z7692\LMI|fvdp,ljtnrV8:=6XHAEpjr`t(`fxbvR=>1:TDEAtn~lx$db|fz^625>P@IMxbzh| hnpj~Z3692\LMI|fvdp,ljtnrV<:=6XHAEpjr`t(`fxbvR9>1:TDEAtn~lx$db|fz^:25>P@IMxbzh| hnpj~Z?692\LMI|fvdp,r`ts494:=6XHAEpjr`t(~lx0<0>1:TDEAtn~lx$zh|{<3<25>P@IMxbzh| vdpw868692\LMI|fvdp,r`ts4=4:=6XHAEpjr`t(~lx080>1:TDEAtn~lx$zh|{<7<25>P@IMxbzh| vdpw828692\LMI|fvdp,r`ts414:=6XHAEpjr`t(~lx040>0:TDEAtn~lx$zh|{_133?SAFL{c}i!yesv\546<^NKO~dxjr.tfvqY5991]KLJ}iwgq+scu|V9:<6XHAEpjr`t(~lxS9??;WEB@woqm{%}izP5028RBGCz`|n~"xjru]555=QOHNye{k}/wgqpZ1682\LMI|fvdp,r`tsW1;;7[INDskuaw)qm{~T5<74VFCGvlpbz&|n~yQlmq]fu56788k0ZJOKrhtfv*pbz}Uha}Qjq123447d3_MJHgyes-uawrXkdzTi|>?01]e14?<^NKO~dxjr.tfvqYdeyUn}=>?10c8RBGCz`|n~"xjru]`iuYby9:;=<74VHGT[Q_WM;1]_:5YS^MAQC7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML30:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj6=_{}<0oiv33?48ga~;<7<0oiv35?78ga~X;<1hhuQ;5:ag|Z3b3jnsS`{w0121868a3jnsS`{w01218686m2iotRczx1236929n2iotRczx12369299l1hhuQbuy2347:26o1hhuQbuy2347:268<0oiw32?48ga;;7<0oiw34?48ga;=7?0oiwP249``|Y4=2iouR::;bfz[0g?01a8gaXg{:;<=<;c:ag}Ziu89:;?:m4ce{\kw6789<2==5ldx]lv5678?3T_Z>>1:ag}Ziu89:;:4Q\W0225>ecqVey<=>?68]PS47692iouRa}012320UX[<=>1:ag}Ziu89:;:4Q\W0625>ecqVey<=>?68]PS43682iouRa}012324ce{\kw6789<2S^Y9119``|Yhz9:;<;7PSV524>ecqVey<=>?68]PS=773jnrSb|?0125=ZUP1k1hhtQ`r1234=c49`|939=2is0;0:;bz?3;3eW9>0ouQ>5:a{[4633jrT>95lx^17?f~X<=1htR;;;bz\21=dpV=?7nvP859`|Z?23js7<384cx>24;3e~4:4>7nw34?78g|:26<1hu1815:az82823js743;4cx>::1=dqV:?7nwP149`}Z77<2irS?:4cx]00>e~W=>0otQ:4:az[3202b{i2>1?58lucuW8;:>6fes]b`a67896:<3?>109kt`tXimn;<=>311<157=oxlxTmij?012?558598?0d}k}_`fg456748:5Sojk0068lucuWhno<=>?<02=[lhqn2b{iQnde2345:268:0d}k}_`fg45674<4:=:5gpdp\eab789:793?>_HLU[5713azn~Rokd12349399VCEZR>i;irfvZgcl9:;<181119kt`tXimn;<=>36?325>nwm{Ujhi>?01>5:47a3azn~Rokd1234919n2b{iQnde2345:?6o1c|h|Paef3456;17;;7e~jr^cg`5678535=1008lucuWhno<=>><02=54763azn~Rokd12359776;;97e~jr^cg`56795;;2??>5:jsawYflm:;<<2>0?]a`a66<2b{iQnde2344:687Ubb{h4hqgq[dbc89::080>0:jsawYflm:;<<2:>034?mvbzVkoh=>?1=7=54YNF_U;=;5gpdp\eab789;793?PIOT\4c=oxlxTmij?013?2;773azn~Rokd123590998;0d}k}_`fg45664?4:=k5gpdp\eab789;7;3h4hqgq[dbc89::050i;irfvZgcl9:;=171119kt`tXimn;<=?39?325>nwm{Ujhi>?00>::47d3azn~Road1234a=oxlxTmcj?0122a>nwm{Ujbi>?01]2a>nwm{Ujbi>?01]151=oxlxTmcj?012\WR6X[^::86fes]bja6789UX[=Q\W033?mvbzVg~t=>?0=31:47<`yoyS`{w012384499j1c|h|Pnnv3457c3azn~R``t12354733azn~R}zb12349776Vcezk5gpdp\wpd789:743h4hqgq[vse89:;040>4:jsawYt}k:;<<2>0?]jjs`<`yoyS~{m01228=8a3azn~R}zb12359?902ce~jl37?58mkt`jV=:<6garf`\ghvXol:;<>??;hlqcgYdeyUli=>?5028mkt`jVif|Rij012555=nf{miSnc_fg3451682ce~jlPclr\h`678:;;7d`}gc]`iuYkm9:;9<>4iopdfZejxVfn<=>9119jjwaeWjg{Sak?01524>oiznhTaxv?016?4;753`dykoQbuy2341:768>:?6garf`\ip~789>7<3<>6058mkt`jVg~t=>?4=2=640X[^::46garf`\ip~789>7<3<>6^QT447?3`dykoQbuy2341:76;;=S^Y?20c8mkt`jVg~t=>?4=2=640X[^:Tj8?<;hlqcgYj}q:;<92?>35356=nf{miS`{w01278585?8;87d`}gc]nq}678=6;2?6:129jjwaeWds<=>;<1<1=<753`dykoQbuy2341:76::::6garf`\ip~789>7<3=?_RU356=nf{miS`{w01278584;>;<7d`}gc]nq}678=6;2>=8_RU356=nf{miS`{w01278584=:;<7d`}gc]nq}678=6;2>;<_RU35==nf{miS`{w01278584=:UX[=?>8:kmvbdXe|r;<=:30?167ZUP8;;j7d`}gc]nq}678=6;2>;<_RU3[c36;2ce~jlPmtz3452;87>9=<<4iopdfZkrp9:;81>19337?lhuokUfyu>?05>3:<4XN8>0ec|hb^ov|567<5:55?QH5:ns85813ez7==0:;mr?5;3jw4=4>7a~35?78hu:16<1g|1915:ns8=823ez753:4lq]30>jwW8?0`}Q>059otZ433ezT?95cp^67?ivX=?1g|R;P159otZ033ezT;95cp^:7?ivX1m1g|Rmbp^nf4567981g|Rmbp^nf4567W`d}=55cp^antZjb89:;Sd`y2^KMRZ6f3ezTbbz?010a?ivXff~;<=<>d:ns[vse89:;0=0j;mr\wpd789:7==0k;mr\wpd789:7=3j4lq]pqg6789692i5cp^qvf5678595h6b_rwa45674=4o7a~Pst`3456;=7n0`}Q|uc2345:16m1g|R}zb1234919l2f{S~{m01238=8c3ezTxl?012?=;227;bvumzij`h|3177?f8twctkhfn~1?7>b9sv`udieoy0<0l;qpfwfgkm{692n5rdq`eicu4:4h7}|jsbcoaw:36j1{~h}lamgq808d3yxnnoces>5:f=wzlyhmak}<6<`?utb{jkgi27>b9sv`udieoy040m;qpfwfgkm{U;n6~}erabh`tX9j1{~h}lamgq[46d3yxnnoces]25f=wzlyhmak}_00`?utb{jkgiQ>3b9sv`udieoyS<:l;qpfwfgkm{U:9n5rdq`eicuW8e3yxnnoces]1f>vumzij`h|P3c9sv`udieoyS9l4psgpgdjbzV?i7}|jsbcoawY1j2zyi~mnldp\3g=wzlyhmak}_9`8twctkhfn~R7>5:rqavefdlxTmij?012?558612zyi~mnldp\eab789:7==0Piot2b>vumzij`h|Paef3456;994TecxPIOT\442?<3<20>vumzij`h|Paef3456;;7;?7}|jsbcoawYflm:;<=2;>068twctkhfn~Rokd12349399=1{~h}lamgq[dbc89:;0;0>4:rqavefdlxTmij?012?3;733yxnnoces]b`a6789632<:4psgpgdjbzVkoh=>?0=;=50=wzlyhmak}_`fg456648:5=45rdq`eicuWhno<=>><02=[lhq9o1{~h}lamgq[dbc89::0<>1_hlu[LHQW9;?7}|jsbcoawYflm:;<<2>>068twctkhfn~Rokd12359499=1{~h}lamgq[dbc89::0>0>4:rqavefdlxTmij?013?0;733yxnnoces]b`a67886>2<:4psgpgdjbzVkoh=>?1=4=51=wzlyhmak}_`fg45664>4:86~}erabh`tXimn;<=?38?37?utb{jkgiQnde2344:>68?0|k|c`nfvZgcl9:;>1??>068twctkhfn~Rokd12369799=1{~h}lamgq[dbc89:90?0>4:rqavefdlxTmij?010?7;733yxnnoces]b`a678;6?2<:4psgpgdjbzVkoh=>?2=7=51=wzlyhmak}_`fg45654?4:86~}erabh`tXimn;<=<37?37?utb{jkgiQnde2347:?68>0|k|c`nfvZgcl9:;>171149sv`udieoySljk012084699=1{~h}lamgq[dbc89:80<0>4:rqavefdlxTmij?011?6;733yxnnoces]b`a678:682<:4psgpgdjbzVkoh=>?3=6=51=wzlyhmak}_`fg45644<4:86~}erabh`tXimn;<==36?37?utb{jkgiQnde2346:068>0|k|c`nfvZgcl9:;?161159sv`udieoySljk01208<86?2zyi~mnldp\eabt}k:;<=2?>0:8twctkhfn~Rokdrwa456748:5=55rdq`eicuWhnoxl?012?548602zyi~mnldp\eabt}k:;<=2>2?3;?utb{jkgiQndeqvf56785;82<64psgpgdjbzVkoh~{m01238429911{~h}lamgq[dbc{|h;<=>314<2<>vumzij`h|Paefpqg67896::3?7;qpfwfgkm{Ujhi}zb12349706820|k|c`nfvZgclzi<=>?<0:=52=wzlyhmak}_`fgwpd789:7=3?8;qpfwfgkm{Ujhi}zb12349499>1{~h}lamgq[dbc{|h;<=>33?34?utb{jkgiQndeqvf56785>5=:5rdq`eicuWhnoxl?012?1;703yxnnoces]b`aurj9:;<181169sv`udieoySljkst`3456;?7;<7}|jsbcoawYflmy~n=>?0=:=52=wzlyhmak}_`fgwpd789:753?>;qpfwfgkm{Ujbi>?0137?utb{jkgiQlmq]da56798>0|k|c`nfvZejxVmn<=><159sv`udieoySnc_fg34526<2zyi~mnldp\ghvXdl:;<=?;;qpfwfgkm{Uha}Qce123542vumzij`h|Pclr\h`678<;?7}|jsbcoawYj}q:;<=2?>0c8twctkhfn~Rczx123496998UX[=?m;qpfwfgkm{Ufyu>?01>3:47X[^;;=o5rdq`eicuWds<=>?<1<25ZUP98;i7}|jsbcoawYj}q:;<=2?>03\WR759k1{~h}lamgq[hs89:;0=0>1^QT567e3yxnnoces]nq}67896;2?01>3:47X[^;3=o5rdq`eicuWds<=>?<1<25ZUP90;j7}|jsbcoawYj}q:;<=2?>03\WR46j2zyi~mnldp\ip~789:7<3?>_RU144dvumzij`h|Pmtz3456;87;:S^Y=20`8twctkhfn~Rczx123496998UX[?=>b:rqavefdlxTaxv?012?4;76WZ]98?0=2=54YT_;?:m6~}erabh`tXe|r;<=>30?32[VQ39h1{~h}lamgq[hs89:;0=0>1^QT24ga:rqavefdlxTaxv?012?4;76WZ]2=85rdq`eicuWds<=>?<1<153=wzlyhmak}_lw{456748:5=<94psgpgdjbzVg~t=>?0=33:476>2zyi~mnldp\ip~789:7=<0>169sv`udieoyS`{w0123847998;=7}|jsbcoawYj}q:;<=2>2?323>vumzij`h|Pmtz3456;9;4:=<84psgpgdjbzVg~t=>?0=30:4703yxnnoces]nq}67896:?3?>179sv`udieoyS`{w0123842998=0|k|c`nfvZkrp9:;<1?;>0322>vumzij`h|Pmtz3456;9<4:=:5rdq`eicuWds<=>?<07=54713yxnnoces]nq}67896::3?>7:rqavefdlxTaxv?012?538698<0|k|c`nfvZkrp9:;<1?8>034?utb{jkgiQbuy2345:6?7;:=;5rdq`eicuWds<=>?<0:=5415:rqavefdlxTaxv?012?5;76>2zyi~mnldp\ip~789:7=3?>159sv`udieoyS`{w01238786=2zyi~mnldp\ip~789:7>3?>6:rqavefdlxTaxv?012?6;769<1{~h}lamgq[hs89:;0>0>179sv`udieoyS`{w0123868698?0|k|c`nfvZkrp9:;<1:11048twctkhfn~Rczx123492998;>7}|jsbcoawYj}q:;<=2:>035?utb{jkgiQbuy2345:268;:96~}erabh`tXe|r;<=>36?322>vumzij`h|Pmtz3456;>7;:=85rdq`eicuWds<=>?<6<253=wzlyhmak}_lw{45674>4:=<;4psgpgdjbzVg~t=>?0=:=540?01>::4713yxnnoces]nq}67896224:rqavefdlxTaxv?010?4;723yxnnoces]nq}678;6;2?03>24;713yxnnoces]nq}678;6:<3?>5:rqavefdlxTaxv?010?5486>2zyi~mnldp\ip~78987=<0>149sv`udieoyS`{w012184499?1{~h}lamgq[hs89:90<<11078twctkhfn~Rczx123697468<0|k|c`nfvZkrp9:;>1?<>036?utb{jkgiQbuy2347:6<7;=7}|jsbcoawYj}q:;4?321>vumzij`h|Pmtz3454;9<4::6~}erabh`tXe|r;<=<314<250=wzlyhmak}_lw{456548<5=;5rdq`eicuWds<=>=<04=543?2=34:4723yxnnoces]nq}678;6:43?9;qpfwfgkm{Ufyu>?03>2<;76<2zyi~mnldp\ip~78987=3?:;qpfwfgkm{Ufyu>?03>2:4733yxnnoces]nq}678;692<;4psgpgdjbzVg~t=>?2=0=542=<2<251=wzlyhmak}_lw{45654=4:96~}erabh`tXe|r;<=<34?320>vumzij`h|Pmtz3454;=7;>7}|jsbcoawYj}q:;037?utb{jkgiQbuy2347:168?0|k|c`nfvZkrp9:;>1811068twctkhfn~Rczx12369199<1{~h}lamgq[hs89:90:0>159sv`udieoyS`{w01218=86=2zyi~mnldp\ip~7898743?>4:rqavefdlxTaxv?010?=;723yxnnoces]nq}678;622;qpfwfgkm{Uecy>?0036?utb{jkgiQaou2344YT_9;;7}|jsbcoawYhz9:;<<:4psgpgdjbzVey<=>?_RU351=wzlyhmak}_np3456X[^;:96~}erabh`tX{|h;<=>311<2=>vumzij`h|Pst`3456;994Tecx>f:rqavefdlxTxl?012?558Xag|TECXP0068twctkhfn~R}zb12349799=1{~h}lamgq[vse89:;0?0>4:rqavefdlxTxl?012?7;733yxnnoces]pqg67896?2<:4psgpgdjbzVy~n=>?0=7=51=wzlyhmak}_rwa45674?4:86~}erabh`tX{|h;<=>37?37?utb{jkgiQ|uc2345:?68>0|k|c`nfvZurj9:;<171149sv`udieoyS~{m01228469901{~h}lamgq[vse89::0<>1_hlu5c=wzlyhmak}_rwa456648:5Sd`y_HLU[5733yxnnoces]pqg67886:2<:4psgpgdjbzVy~n=>?1=0=51=wzlyhmak}_rwa45664:4:86~}erabh`tX{|h;<=?34?37?utb{jkgiQ|uc2344:268>0|k|c`nfvZurj9:;=181159sv`udieoyS~{m01228286<2zyi~mnldp\wpd789;743?;;qpfwfgkm{Uxyo>?00>::43?2=3=51=wzlyhmak}_rwa45654;4:86~}erabh`tX{|h;<=<33?37?utb{jkgiQ|uc2347:368>0|k|c`nfvZurj9:;>1;1159sv`udieoyS~{m01218386<2zyi~mnldp\wpd78987;3?;;qpfwfgkm{Uxyo>?03>;:42<<02=51=wzlyhmak}_rwa4564484:86~}erabh`tX{|h;<==32?37?utb{jkgiQ|uc2346:468>0|k|c`nfvZurj9:;?1:1159sv`udieoyS~{m01208086<2zyi~mnldp\wpd78997:3?;;qpfwfgkm{Uxyo>?02>4:42<<8<6?wv;87<0~}2>0?78vu:66<1y|1<15:ps86823{z783;4rq>6:0=ux5<596|<6<6?wv;07?0~}26>59qtZ633{zT=85}p^330>twW;>0~}Q<4:ps[12:6|_4]20>twW?>0~}Q84:ps[=28:ps[fkwWeo;<=>Piot1[LHQW9k0~}Qaou2347d?033g?wvX{|h;<=>30?g8vuYt}k:;<=2>0?f8vuYt}k:;<=2>>e9qtZurj9:;<1<1d:ps[vse89:;0>0k;sr\wpd789:783j4rq]pqg67896>2i5}p^qvf56785<5h6|_rwa45674>4o7~Pst`3456;07n0~}Q|uc2345:>6:1xccl4snl\ip~789:h7~aa_lw{45679k1xccQaou2344b<{fdTbbz?01327a=tggUecy>?0035g>uhfVddx=>?13f8wjhXff~;<=?=1b9pkkYig}:;<<=k;rmm[kis89::?:m4snl\jjr789;?i6}`n^llp5679=;:=?5|oo]mkq6788>:=R]X0008wjhXff~;<=?:10]PS5c<{fdTbbz?013661b<{fdTbbz?01360`=tggUecy>?0076346<{fdTbbz?013612Y6991so>}=51g.1(ew9:8vLM~=43d8DE~0?;0M6?4>{R1`>3?b2=:o6<=<28cf>6230hqe:4m51:l5=a<13-<2n7866:P7g<11l0?320:e`<4<=hj7i982;295?7|[:i1:4k541f956551ho1?9:7a:tW005=83;1=7;60zQ0g?0>m3>;h7?<33;ba?53<1k0(;7<:628 16b21km7o982;2951<2000>5=uG50g8 07c2>=97W=::3y1=?4?2tc857>5;n70>5<5<5<#<8:184=5<#<8:186=5<#<8:180=5<#<8:182=h38o0;76a93;29 1772?>0b9>i:098k34=83.?==494:l74c<532e==7>5$533>3254o7294?"3990=86`;0g87?>i2n3:1(9??:768j16a2<10c8k50;&755<1<2d?2=:183!36l3?97E868:J65`=h=80;66sm68c94?7=83:p(8?k:43e?M0>02B>=h5`41a94?=zj?k96=4<:183!36l3>87E868:J65`=#=h0;7d=9:188m6b=831d8<=50;9~f3g429086=4?{%72`?243A<246F:1d9'1d<73`9=6=44i2f94?=h<891<75rb7c7>5<4290;w);>d;60?M0>02B>=h5+5`83?l512900e>j50;9l045=831vn;oi:187>5<7s-?:h7:;;I4:<>N29l1/9l4?;h15>5<>i39:0;66sm6c294?2=83:p(8?k:568L3??3A?:i6*:a;28m60=831b?:4?::k0`?6=3f>:?7>5;|`5f4<72=0;6=u+50f901=O>020D8?j;%7b>5=n;?0;66g<7;29?l5c2900c9?<:188yg0e:3:187>50z&65a<3<2B=555G50g8 0g=82c8:7>5;h14>5<=7E868:J65`=#=h0;7d=9:188m61=831b?i4?::k751<722e?=>4?::a<1<7>t$43g>12<@?337E;>e:&6e?6>o4l3:17b:>3;29?xd00?0;694?:1y'14b=<=1C:464H43f?!3f291b?;4?::k03?6=3`9o6=44o530>5<54;294~"29m0?86F9999K14c<,j50;9l045=831vn:7>:187>5<7s-?:h7:;;I4:<>N29l1/9l4?;h15>5<>i39:0;66sm78094?2=83:p(8?k:568L3??3A?:i6*:a;28m60=831b?:4?::k0`?6=3f>:?7>5;|`4=6<72=0;6=u+50f901=O>020D8?j;%7b>5=n;?0;66g<7;29?l5c2900c9?<:188yg1><3:187>50z&65a<3<2B=555G50g8 0g=82c8:7>5;h14>5<3>6=4;:183!36l3>?7E868:J65`=#=h0;7d=9:188m61=831b?i4?::m756<722wi;4850;694?6|,<;o69:4H7;;?M36m2.>m7>4i2494?=n;>0;66g1<729q/9<@<;n7);n:19j73<722c8;7>5;h1g>5<N1111C9o4>3:17d=8:188m6b=831d8<=50;9~f2>?290?6=4?{%72`?233A<246F:1d9'1d<73`9=6=44i2594?=n;m0;66a;1283>>{e?131<7:50;2x 07c2=>0D;77;I72a>"2i3:0e>850;9j72<722c8h7>5;n627?6=3th<4l4?:583>5}#=8n1895G68:8L07b3-?j6=5f3783>>o4?3:17d=k:188k1742900qo97b;290?6=8r.>=i4;4:J5===O=8o0(8o50:k02?6=3`9<6=44i2f94?=h<891<75rb6:`>5<3290;w);>d;67?M0>02B>=h5+5`83?l512900e>950;9j7a<722e?=>4?::a3=b=83>1<7>t$43g>12<@?337E;>e:&6e?6>o4l3:17b:>3;29?xd00l0;694?:1y'14b=<=1C:464H43f?!3f291b?;4?::k03?6=3`9o6=44o530>5<54;294~"29m0?86F9999K14c<,j50;9l045=831vn:7?:187>5<7s-?:h7:;;I4:<>N29l1/9l4?;h15>5<>i39:0;66sm6e694?5=83:p(8?k:518L3??3A?:i6*:a;28m60=831b?i4?::m756<722wi:i;50;194?6|,<;o69=4H7;;?M36m2.>m7>4i2494?=n;m0;66a;1283>>{e>m=1<7=50;2x 07c2=90D;77;I72a>"2i3:0e>850;9j7a<722e?=>4?::a2a>=8391<7>t$43g>15<@?337E;>e:&6e?6>i39:0;66sm6e;94?5=83:p(8?k:518L3??3A?:i6*:a;28m60=831b?i4?::m756<722wi:io50;194?6|,<;o69=4H7;;?M36m2.>m7>4i2494?=n;m0;66a;1283>>{e>mh1<7=50;2x 07c2=90D;77;I72a>"2i3h0e>850;9j7a<722e?=>4?::a2ae=8391<7>t$43g>15<@?337E;>e:&6e?6>i39:0;66sm6ef94?5=83:p(8?k:518L3??3A?:i6*:a;`8m60=831b?i4?::m756<722wi:ik50;194?6|,<;o69=4H7;;?M36m2.>m7>4i2494?=n;m0;66a;1283>>{e>m<1<7=50;2x 07c2=90D;77;I72a>"2i3:0e>850;9j7a<722e?=>4?::a2`g=8391<7>t$43g>15<@?337E;>e:&6e?6>i39:0;66sm6d`94?5=83:p(8?k:518L3??3A?:i6*:a;28m60=831b?i4?::m756<722wi:hj50;194?6|,<;o69=4H7;;?M36m2.>m7>4i2494?=n;m0;66a;1283>>{e>lo1<7=50;2x 07c2=90D;77;I72a>"2i3:0e>850;9j7a<722e?=>4?::a2``=8391<7>t$43g>15<@?337E;>e:&6e?d>i39:0;66sm6g294?5=83:p(8?k:518L3??3A?:i6*:a;`8m60=831b?i4?::m756<722wi:k?50;194?6|,<;o69=4H7;;?M36m2.>m7l4i2494?=n;m0;66a;1283>>{e>o81<7=50;2x 07c2=90D;77;I72a>"2i3h0e>850;9j7a<722e?=>4?::a2c5=8391<7>t$43g>15<@?337E;>e:&6e?6>i39:0;66sm6g694?5=83:p(8?k:518L3??3A?:i6*:a;28m60=831b?i4?::m756<722wi:hm50;194?6|,<;o69=4H7;;?M36m2.>m7>4i2494?=n;m0;66a;1283>>{e?<<1<7:50;2x 07c2=>0D;77;I72a>"2i3:0e>850;9j72<722c8h7>5;n627?6=3th<9:4?:583>5}#=8n1895G68:8L07b3-?j6=5f3783>>o4?3:17d=k:188k1742900qo9:9;290?6=8r.>=i4;4:J5===O=8o0(8o50:k02?6=3`9<6=44i2f94?=h<891<75rb67b>5<3290;w);>d;67?M0>02B>=h5+5`83?l512900e>950;9j7a<722e?=>4?::a30d=83>1<7>t$43g>12<@?337E;>e:&6e?d>o4l3:17b:>3;29?xd0=j0;694?:1y'14b=<=1C:464H43f?!3f2k1b?;4?::k03?6=3`9o6=44o530>5<i7>54;294~"29m0?86F9999K14c<,j50;9l045=831vn:;i:187>5<7s-?:h7:;;I4:<>N29l1/9l4m;h15>5<>i39:0;66sm77294?2=83:p(8?k:568L3??3A?:i6*:a;28m60=831b?:4?::k0`?6=3f>:?7>5;|`424<72=0;6=u+50f901=O>020D8?j;%7b>5=n;?0;66g<7;29?l5c2900c9?<:188yg1203:187>50z&65a<3<2B=555G50g8 0g=82c8:7>5;h14>5<?7E868:J65`=#=h0;7d=9:188m61=831b?i4?::m756<722wi45<50;694?6|,<;o69:4H7;;?M36m2.>m7>4i2494?=n;>0;66g1<729q/9<@<;n7);n:19j73<722c8;7>5;h1g>5<N1111C9o4>3:17d=8:188m6b=831d8<=50;9~f=>1290?6=4?{%72`?233A<246F:1d9'1d>{e01=1<7:50;2x 07c2=>0D;77;I72a>"2i3h0e>850;9j72<722c8h7>5;n627?6=3th3444?:583>5}#=8n1895G68:8L07b3-?j6o5f3783>>o4?3:17d=k:188k1742900qo67a;290?6=8r.>=i4;4:J5===O=8o0(8o5b:k02?6=3`9<6=44i2f94?=h<891<75rb9:a>5<3290;w);>d;67?M0>02B>=h5+5`83?l512900e>950;9j7a<722e?=>4?::a<=e=83>1<7>t$43g>12<@?337E;>e:&6e?6>o4l3:17b:>3;29?xd?0:0;694?:1y'14b=<=1C:464H43f?!3f291b?;4?::k03?6=3`9o6=44o530>5<54;294~"29m0>o3=;0;66a;0`83>>{e;:=1<7=50;2x 07c2?3<7E868:J65`=n;10;66g9e;29?j27i3:17pl<1e83>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn>=7:180>5<7s-?:h7867:J5===O=8o0e>650;9j2`<722e?1<7>t$43g>2g<@?337E;>e:k05<020D8?j;h1;>5<4?:1y'14b=>0=0D;77;I72a>o403:17d8j:188k16f2900qo==1;290?6=8r.>=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wi?>l50;194?6|,<;o6;78;I4:<>N29l1b?54?::k5a?6=3f>;m7>5;|`067<72=0;6=u+50f93d=O>020D8?j;h1;>5<5<4290;w);>d;4:3>N1111C9l0;66a;0`83>>{e;;91<7:50;2x 07c2>k0D;77;I72a>o403:17d=j:188m1352900c9>n:188yg54l3:1?7>50z&65a<11>1C:464H43f?l5?2900e;k50;9l05g=831vn><;:187>5<7s-?:h79n;I4:<>N29l1b?54?::k0a?6=3`>>>7>5;n63e?6=3th8?h4?:283>5}#=8n1:494H7;;?M36m2c847>5;h4f>5<N1111C9>i38h0;66sm32d94?5=83:p(8?k:7;4?M0>02B>=h5f3983>>o1m3:17b:?a;29?xd4;?0;6>4?:1y'14b=>0=0D;77;I72a>o403:17d8j:188k16f2900qo??a;290?6=8r.>=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wi=?>50;194?6|,<;o6;78;I4:<>N29l1b?54?::k5a?6=3f>;m7>5;|`24g<72=0;6=u+50f93d=O>020D8?j;h1;>5<5<4290;w);>d;4:3>N1111C9l0;66a;0`83>>{e99n1<7:50;2x 07c2>k0D;77;I72a>o403:17d=j:188m1352900c9>n:188yg75:3:1?7>50z&65a<11>1C:464H43f?l5?2900e;k50;9l05g=831vn<>j:187>5<7s-?:h79n;I4:<>N29l1b?54?::k0a?6=3`>>>7>5;n63e?6=3th:>>4?:283>5}#=8n1:494H7;;?M36m2c847>5;h4f>5<N1111C9>i38h0;66sm13694?5=83:p(8?k:7;4?M0>02B>=h5f3983>>o1m3:17b:?a;29?xd69;0;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f44229086=4?{%72`?0>?2B=555G50g8m6>=831b:h4?::m74d<722wi=<:50;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<53;294~"29m0=5:5G68:8L07b3`936=44i7g94?=h<9k1<75rb035>5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl>2683>6<729q/9n:188yg7603:187>50z&65a<0i2B=555G50g8m6>=831b?h4?::k717<722e?=8391<7>t$43g>3?03A<246F:1d9j7=<722c=i7>5;n63e?6=3th:=h4?:283>5}#=8n1:494H7;;?M36m2c847>5;h4f>5<N1111C9>i38h0;66sm12d94?5=83:p(8?k:7;4?M0>02B>=h5f3983>>o1m3:17b:?a;29?xd6:h0;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f42729086=4?{%72`?0>?2B=555G50g8m6>=831b:h4?::m74d<722wi=?m50;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<53;294~"29m0=5:5G68:8L07b3`936=44i7g94?=h<9k1<75rb00g>5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl>4383>6<729q/9n:188yg75n3:187>50z&65a<0i2B=555G50g8m6>=831b?h4?::k717<722e?t$43g>3?03A<246F:1d9j7=<722c=i7>5;n63e?6=3th:?<4?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zj8>?6=4<:183!36l3<2;6F9999K14c>i38h0;66sm12194?2=83:p(8?k:6c8L3??3A?:i6g<8;29?l5b2900e9;=:188k16f2900qo?;5;297?6=8r.>=i49969K2<><@<;n7d=7:188m3c=831d8=o50;9~f452290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`203<72:0;6=u+50f92<1<@?337E;>e:k05<54;294~"29m0>o3=;0;66a;0`83>>{e9==1<7=50;2x 07c2?3<7E868:J65`=n;10;66g9e;29?j27i3:17pl>3e83>6<729q/9n:188yg5383:187>50z&65a<0i2B=555G50g8m6>=831b?h4?::k717<722e?t$43g>3?03A<246F:1d9j7=<722c=i7>5;n63e?6=3th88?4?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zj:?o6=4<:183!36l3<2;6F9999K14c>i38h0;66sm35194?2=83:p(8?k:6c8L3??3A?:i6g<8;29?l5b2900e9;=:188k16f2900qo=:e;297?6=8r.>=i49969K2<><@<;n7d=7:188m3c=831d8=o50;9~f622290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`01c<72:0;6=u+50f92<1<@?337E;>e:k05<54;294~"29m0>o3=;0;66a;0`83>>{e;?:1<7=50;2x 07c2?3<7E868:J65`=n;10;66g9e;29?j27i3:17pl<4683>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn>8>:180>5<7s-?:h7867:J5===O=8o0e>650;9j2`<722e?=83>1<7>t$43g>2g<@?337E;>e:k05<020D8?j;h1;>5<26=4;:183!36l3=j7E868:J65`=n;10;66g:0;6>4?:1y'14b=>0=0D;77;I72a>o403:17d8j:188k16f2900qo=;a;290?6=8r.>=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wi?;:50;194?6|,<;o6;78;I4:<>N29l1b?54?::k5a?6=3f>;m7>5;|`01g<72:0;6=u+50f92<1<@?337E;>e:k05<53;294~"29m0<>6F9999K14c<,l5f5783>>o2?3:17b:?a;29?xd5nk0;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f67729086=4?{%72`?0>?2B=555G50g8m6>=831b:h4?::m74d<722wi?=950;194?6|,<;o6:<4H7;;?M36m2.>m75<4?:1y'14b=>0=0D;77;I72a>o403:17d8j:188k16f2900qo=?8;297?6=8r.>=i482:J5===O=8o0(8o52`9j13<722c>;7>5;n63e?6=3th9ji4?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zj:;86=4<:183!36l3<2;6F9999K14c>i38h0;66sm31;94?5=83:p(8?k:608L3??3A?:i6*:a;0b?l312900e8950;9l05g=831vn?hj:187>5<7s-?:h79n;I4:<>N29l1b?54?::k0a?6=3`>>>7>5;n63e?6=3th8=94?:283>5}#=8n1:494H7;;?M36m2c847>5;h4f>5<N1111C91<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn>?::180>5<7s-?:h7867:J5===O=8o0e>650;9j2`<722e?t$43g>24<@?337E;>e:&6e?4f3`?=6=44i4594?=h<9k1<75rb223>5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl<1783>6<729q/9n:188yg57k3:1?7>50z&65a<0:2B=555G50g8 0g=:h1b9;4?::k63?6=3f>;m7>5;|`044<72=0;6=u+50f93d=O>020D8?j;h1;>5<5<4290;w);>d;4:3>N1111C9l0;66a;0`83>>{e;9n1<7=50;2x 07c2>80D;77;I72a>"2i38j7d;9:188m01=831d8=o50;9~f665290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`05=<72:0;6=u+50f92<1<@?337E;>e:k05<53;294~"29m0<>6F9999K14c<,l5f5783>>o2?3:17b:?a;29?xd48:0;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f67>29086=4?{%72`?0>?2B=555G50g8m6>=831b:h4?::m74d<722wi?=h50;194?6|,<;o6:<4H7;;?M36m2.>m75<4?:1y'14b=>0=0D;77;I72a>o403:17d8j:188k16f2900qo=?6;297?6=8r.>=i482:J5===O=8o0(8o52`9j13<722c>;7>5;n63e?6=3th8=<4?:283>5}#=8n1:494H7;;?M36m2c847>5;h4f>5<N1111C91<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn>l7:180>5<7s-?:h7867:J5===O=8o0e>650;9j2`<722e?t$43g>24<@?337E;>e:&6e?4f3`?=6=44i4594?=h<9k1<75rb2c7>5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl6<729q/9n:188yg5e83:1?7>50z&65a<0:2B=555G50g8 0g=:h1b9;4?::k63?6=3f>;m7>5;|`0e0<72=0;6=u+50f93d=O>020D8?j;h1;>5<5<4290;w);>d;4:3>N1111C9l0;66a;0`83>>{e;k;1<7=50;2x 07c2>80D;77;I72a>"2i38j7d;9:188m01=831d8=o50;9~f6g1290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`0ff<72:0;6=u+50f92<1<@?337E;>e:k05<7>53;294~"29m0<>6F9999K14c<,l5f5783>>o2?3:17b:?a;29?xd4i>0;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f6dc29086=4?{%72`?0>?2B=555G50g8m6>=831b:h4?::m74d<722wi?o=50;194?6|,<;o6:<4H7;;?M36m2.>m75<4?:1y'14b=>0=0D;77;I72a>o403:17d8j:188k16f2900qo=m4;297?6=8r.>=i482:J5===O=8o0(8o52`9j13<722c>;7>5;n63e?6=3th8m44?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zj:hm6=4<:183!36l3<2;6F9999K14c>i38h0;66sm3c794?5=83:p(8?k:608L3??3A?:i6*:a;0b?l312900e8950;9l05g=831vn>on:187>5<7s-?:h79n;I4:<>N29l1b?54?::k0a?6=3`>>>7>5;n63e?6=3th8o=4?:283>5}#=8n1:494H7;;?M36m2c847>5;h4f>5<N1111C91<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn>m>:180>5<7s-?:h7867:J5===O=8o0e>650;9j2`<722e?t$43g>24<@?337E;>e:&6e?4f3`?=6=44i4594?=h<9k1<75rb2c`>5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl6<729q/9n:188yg5fm3:1?7>50z&65a<0:2B=555G50g8 0g=:h1b9;4?::k63?6=3f>;m7>5;|`0f<<72:0;6=u+50f92<1<@?337E;>e:k05<54;294~"29m0>o3=;0;66a;0`83>>{e91n1<7=50;2x 07c2?3<7E868:J65`=n;10;66g9e;29?j27i3:17pl>8283>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn<78:180>5<7s-?:h7867:J5===O=8o0e>650;9j2`<722e?1<7>t$43g>2g<@?337E;>e:k05<020D8?j;h1;>5<6=4;:183!36l3=j7E868:J65`=n;10;66g4?:1y'14b=>0=0D;77;I72a>o403:17d8j:188k16f2900qo?76;290?6=8r.>=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wi=4o50;194?6|,<;o6;78;I4:<>N29l1b?54?::k5a?6=3f>;m7>5;|`2<2<72=0;6=u+50f93d=O>020D8?j;h1;>5<5<4290;w);>d;4:3>N1111C9l0;66a;0`83>>{e9121<7:50;2x 07c2>k0D;77;I72a>o403:17d=j:188m1352900c9>n:188yg7>k3:1?7>50z&65a<11>1C:464H43f?l5?2900e;k50;9l05g=831vn<66:187>5<7s-?:h79n;I4:<>N29l1b?54?::k0a?6=3`>>>7>5;n63e?6=3th:5i4?:283>5}#=8n1:494H7;;?M36m2c847>5;h4f>5<N1111C9>i38h0;66sm18g94?5=83:p(8?k:7;4?M0>02B>=h5f3983>>o1m3:17b:?a;29?xd60k0;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f4?a29086=4?{%72`?0>?2B=555G50g8m6>=831b:h4?::m74d<722wi=:l50;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<53;294~"29m0=5:5G68:8L07b3`936=44i7g94?=h<9k1<75rb05`>5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl>8g83>6<729q/9n:188yg70l3:187>50z&65a<0i2B=555G50g8m6>=831b?h4?::k717<722e?t$43g>3?03A<246F:1d9j7=<722c=i7>5;n63e?6=3th:;h4?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zj83:6=4<:183!36l3<2;6F9999K14c>i38h0;66sm16d94?2=83:p(8?k:6c8L3??3A?:i6g<8;29?l5b2900e9;=:188k16f2900qo?62;297?6=8r.>=i49969K2<><@<;n7d=7:188m3c=831d8=o50;9~f4>7290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`2=6<72:0;6=u+50f92<1<@?337E;>e:k05<54;294~"29m0>o3=;0;66a;0`83>>{e90>1<7=50;2x 07c2?3<7E868:J65`=n;10;66g9e;29?j27i3:17pl>8383>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn<7::180>5<7s-?:h7867:J5===O=8o0e>650;9j2`<722e?t$43g>3?03A<246F:1d9j7=<722c=i7>5;n63e?6=3th9i>4?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zj;o?6=4;:183!36l3=j7E868:J65`=n;10;66gk50;9j004=831d8=o50;9~f7c1290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`1a2<72=0;6=u+50f93d=O>020D8?j;h1;>5<5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl=e883>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn:m50;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<>i38h0;66sm7d83>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn4:50;194?6|,<;o6;78;I4:<>N29l1b?54?::k5a?6=3f>;m7>5;|`;4?6=<3:1N1111C9>i38h0;66sm9483>6<729q/9n:188yg>e29086=4?{%72`?153A<246F:1d9'1d<6j2c>:7>5;h74>5<5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl66;297?6=8r.>=i49969K2<><@<;n7d=7:188m3c=831d8=o50;9~f=e=8391<7>t$43g>24<@?337E;>e:&6e?7e3`?=6=44i4594?=h<9k1<75rb9094?2=83:p(8?k:6c8L3??3A?:i6g<8;29?l5b2900e9;=:188k16f2900qo78:180>5<7s-?:h7867:J5===O=8o0e>650;9j2`<722e?020D8?j;%7b>4d>i38h0;66sm8283>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn4650;194?6|,<;o6;78;I4:<>N29l1b?54?::k5a?6=3f>;m7>5;|`;a?6=;3:1N1111C9=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wi544?:283>5}#=8n1:494H7;;?M36m2c847>5;h4f>5<5<4290;w);>d;51?M0>02B>=h5+5`82f>o2>3:17d;8:188k16f2900qo6::187>5<7s-?:h79n;I4:<>N29l1b?54?::k0a?6=3`>>>7>5;n63e?6=3th2m7>53;294~"29m0=5:5G68:8L07b3`936=44i7g94?=h<9k1<75rb8294?5=83:p(8?k:608L3??3A?:i6*:a;3a?l312900e8950;9l05g=831vn5850;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<>i38h0;66sm9083>6<729q/9<@<;n7);n:0`8m00=831b9:4?::m74d<722wi4:4?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zj0i1<7=50;2x 07c2?3<7E868:J65`=n;10;66g9e;29?j27i3:17pl78;297?6=8r.>=i482:J5===O=8o0(8o51c9j13<722c>;7>5;n63e?6=3th2?7>53;294~"29m0=5:5G68:8L07b3`936=44i7g94?=h<9k1<75rb8f94?2=83:p(8?k:6c8L3??3A?:i6g<8;29?l5b2900e9;=:188k16f2900qol<:180>5<7s-?:h7867:J5===O=8o0e>650;9j2`<722e?020D8?j;h1;>5<02B>=h5f3983>>o1m3:17b:?a;29?xdf83:187>50z&65a<0i2B=555G50g8m6>=831b?h4?::k717<722e?e:k05<50z&65a<11>1C:464H43f?l5?2900e;k50;9l05g=831vnl<50;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<>i38h0;66sma283>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vno750;194?6|,<;o6;78;I4:<>N29l1b?54?::k5a?6=3f>;m7>5;|`b0?6=<3:1N1111C9>i38h0;66smb`83>6<729q/9n:188ygg2290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`af?6=;3:1020D8?j;h1;>5<k0D;77;I72a>o403:17d=j:188m1352900c9>n:188ygdd29086=4?{%72`?0>?2B=555G50g8m6>=831b:h4?::m74d<722wim:4?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zjkn1<7=50;2x 07c2?3<7E868:J65`=n;10;66g9e;29?j27i3:17plm4;297?6=8r.>=i49969K2<><@<;n7d=7:188m3c=831d8=o50;9~f602290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`03g<72:0;6=u+50f92<1<@?337E;>e:k05<54;294~"29m0>o3=;0;66a;0`83>>{e;>i1<7=50;2x 07c2?3<7E868:J65`=n;10;66g9e;29?j27i3:17pl<6983>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn>9k:180>5<7s-?:h7867:J5===O=8o0e>650;9j2`<722e?1<7>t$43g>2g<@?337E;>e:k05<020D8?j;h1;>5<4?:1y'14b=>0=0D;77;I72a>o403:17d8j:188k16f2900qo=9d;290?6=8r.>=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wi?5>50;194?6|,<;o6;78;I4:<>N29l1b?54?::k5a?6=3f>;m7>5;|`02`<72=0;6=u+50f93d=O>020D8?j;h1;>5<5<4290;w);>d;4:3>N1111C9l0;66a;0`83>>{e;?l1<7:50;2x 07c2>k0D;77;I72a>o403:17d=j:188m1352900c9>n:188yg5?:3:1?7>50z&65a<11>1C:464H43f?l5?2900e;k50;9l05g=831vn>9?:187>5<7s-?:h79n;I4:<>N29l1b?54?::k0a?6=3`>>>7>5;n63e?6=3th84>4?:283>5}#=8n1:494H7;;?M36m2c847>5;h4f>5<020D8?j;h1;>5<36=4;:183!36l3=j7E868:J65`=n;10;66g4?:1y'14b=>0=0D;77;I72a>o403:17d8j:188k16f2900qo?;9;290?6=8r.>=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wi=8750;194?6|,<;o6;78;I4:<>N29l1b?54?::k5a?6=3f>;m7>5;|`20g<72=0;6=u+50f93d=O>020D8?j;h1;>5<5<4290;w);>d;4:3>N1111C9l0;66a;0`83>>{e9=i1<7:50;2x 07c2>k0D;77;I72a>o403:17d=j:188m1352900c9>n:188yg72j3:1?7>50z&65a<11>1C:464H43f?l5?2900e;k50;9l05g=831vn<:j:187>5<7s-?:h79n;I4:<>N29l1b?54?::k0a?6=3`>>>7>5;n63e?6=3th:9n4?:283>5}#=8n1:494H7;;?M36m2c847>5;h4f>5<N1111C9>i38h0;66sm14f94?5=83:p(8?k:7;4?M0>02B>=h5f3983>>o1m3:17b:?a;29?xd6=90;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f43b29086=4?{%72`?0>?2B=555G50g8m6>=831b:h4?::m74d<722wi=8?50;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<j7>53;294~"29m0=5:5G68:8L07b3`936=44i7g94?=h<9k1<75rb071>5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl>6183>6<729q/9n:188yg72?3:1?7>50z&65a<11>1C:464H43f?l5?2900e;k50;9l05g=831vn>6;:187>5<7s-?:h79n;I4:<>N29l1b?54?::k0a?6=3`>>>7>5;n63e?6=3th85l4?:283>5}#=8n1:494H7;;?M36m2c847>5;h4f>5<N1111C9>i38h0;66sm38`94?5=83:p(8?k:7;4?M0>02B>=h5f3983>>o1m3:17b:?a;29?xd40>0;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f6?d29086=4?{%72`?0>?2B=555G50g8m6>=831b:h4?::m74d<722wi?5750;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<53;294~"29m0=5:5G68:8L07b3`936=44i7g94?=h<9k1<75rb2:b>5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl<9d83>6<729q/9n:188yg5?k3:187>50z&65a<0i2B=555G50g8m6>=831b?h4?::k717<722e?t$43g>3?03A<246F:1d9j7=<722c=i7>5;n63e?6=3th84i4?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zj:k;6=4<:183!36l3<2;6F9999K14c>i38h0;66sm39g94?2=83:p(8?k:6c8L3??3A?:i6g<8;29?l5b2900e9;=:188k16f2900qo=n1;297?6=8r.>=i49969K2<><@<;n7d=7:188m3c=831d8=o50;9~f6>a290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`0e7<72:0;6=u+50f92<1<@?337E;>e:k05<53;294~"29m0=5:5G68:8L07b3`936=44i7g94?=h<9k1<75rb042>5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl>7083>6<729q/9n:188yg71:3:187>50z&65a<0i2B=555G50g8m6>=831b?h4?::k717<722e?t$43g>3?03A<246F:1d9j7=<722c=i7>5;n63e?6=3th::94?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zj8=86=4<:183!36l3<2;6F9999K14c>i38h0;66sm17794?2=83:p(8?k:6c8L3??3A?:i6g<8;29?l5b2900e9;=:188k16f2900qo?84;297?6=8r.>=i49969K2<><@<;n7d=7:188m3c=831d8=o50;9~f400290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`230<72:0;6=u+50f92<1<@?337E;>e:k05<54;294~"29m0>o3=;0;66a;0`83>>{e9><1<7=50;2x 07c2?3<7E868:J65`=n;10;66g9e;29?j27i3:17pl>6883>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn<98:180>5<7s-?:h7867:J5===O=8o0e>650;9j2`<722e?1<7>t$43g>2g<@?337E;>e:k05<020D8?j;h1;>5<4?:1y'14b=>0=0D;77;I72a>o403:17d8j:188k16f2900qo?80;297?6=8r.>=i49969K2<><@<;n7d=7:188m3c=831d8=o50;9~f7>>290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`1=1<72=0;6=u+50f930=O>020D8?j;%7b>73>o203:17b:?a;29?xd50h0;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f7?229086=4?{%72`?153A<246F:1d9'1d5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl=8b83>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn?79:180>5<7s-?:h79=;I4:<>N29l1/9l4m;h75>5<k50;9j004=831d8=o50;9~f7?6290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`1fd<72:0;6=u+50f937=O>020D8?j;%7b>g=n=?0;66g:7;29?j27i3:17pl=ag83>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn?ll:186>5<7s-?:h798;I4:<>N29l1/9l4=6:k62?6=3`?<6=44i4:94?=n=00;66a;0`83>>{e:k81<7:50;2x 07c2>k0D;77;I72a>o403:17d=j:188m1352900c9>n:188yg4em3:197>50z&65a<0?2B=555G50g8 0g=92c>:7>5;h74>5<>i38h0;66sm2c794?2=83:p(8?k:6c8L3??3A?:i6g<8;29?l5b2900e9;=:188k16f2900qo=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wi>ol50;794?6|,<;o6:94H7;;?M36m2.>m7?4i4494?=n=>0;66g:8;29?l3>2900c9>n:188yg4e93:187>50z&65a<0i2B=555G50g8m6>=831b?h4?::k717<722e?t$43g>21<@?337E;>e:&6e?7>o203:17d;6:188k16f2900qo=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wi>oh50;194?6|,<;o6:<4H7;;?M36m2.>m7l4i4494?=n=>0;66a;0`83>>{e:k=1<7:50;2x 07c2>k0D;77;I72a>o403:17d=j:188m1352900c9>n:188yg4e13:187>50z&65a<0i2B=555G50g8m6>=831b?h4?::k717<722e?1<7>t$43g>23<@?337E;>e:&6e?423`?=6=44i4594?=n=10;66a;0`83>>{e:>n1<7:50;2x 07c2>k0D;77;I72a>o403:17d=j:188m1352900c9>n:188yg4?;3:187>50z&65a<0=2B=555G50g8 0g=<2c>:7>5;h74>5<47>5;n63e?6=3th9;k4?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zj;2;6=4;:183!36l3=j7E868:J65`=n;10;66gk50;9j004=831d8=o50;9~f72d290>6=4?{%72`?103A<246F:1d9'1d<63`?=6=44i4594?=n=10;66g:9;29?j27i3:17pl=4983>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn?:k:186>5<7s-?:h798;I4:<>N29l1/9l4>;h75>5<>o213:17b:?a;29?xd5<00;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f72b29086=4?{%72`?153A<246F:1d9'1d5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl=4c83>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn?jj:186>5<7s-?:h798;I4:<>N29l1/9l4>;h75>5<>o213:17b:?a;29?xd5lh0;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f7ba290>6=4?{%72`?103A<246F:1d9'1d<63`?=6=44i4594?=n=10;66g:9;29?j27i3:17pl=dc83>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn?k?:180>5<7s-?:h79=;I4:<>N29l1/9l4m;h75>5<k50;9j004=831d8=o50;9~f73d29086=4?{%72`?153A<246F:1d9'1d<5i2c>:7>5;h74>5<N1111C9>i38h0;66sm24g94?5=83:p(8?k:608L3??3A?:i6*:a;0b?l312900e8950;9l05g=831vn?;<:187>5<7s-?:h79n;I4:<>N29l1b?54?::k0a?6=3`>>>7>5;n63e?6=3th99k4?:283>5}#=8n1;?5G68:8L07b3-?j6?o4i4494?=n=>0;66a;0`83>>{e:<>1<7:50;2x 07c2>k0D;77;I72a>o403:17d=j:188m1352900c9>n:188yg4183:1?7>50z&65a<0:2B=555G50g8 0g=:h1b9;4?::k63?6=3f>;m7>5;|`110<72=0;6=u+50f93d=O>020D8?j;h1;>5<5<4290;w);>d;51?M0>02B>=h5+5`81e>o2>3:17d;8:188k16f2900qo<:6;290?6=8r.>=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wi>;<50;194?6|,<;o6:<4H7;;?M36m2.>m75<:0;6>4?:1y'14b=?;1C:464H43f?!3f2;k0e8850;9j12<722e?=83>1<7>t$43g>2g<@?337E;>e:k05<N1111C91<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn?8::180>5<7s-?:h79=;I4:<>N29l1/9l4=a:k62?6=3`?<6=44o52b>5<m7>54;294~"29m0>o3=;0;66a;0`83>>{e:?<1<7=50;2x 07c2>80D;77;I72a>"2i38j7d;9:188m01=831d8=o50;9~f73e290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`11a<72:0;6=u+50f937=O>020D8?j;%7b>7g>i38h0;66sm24094?2=83:p(8?k:6c8L3??3A?:i6g<8;29?l5b2900e9;=:188k16f2900qo=i482:J5===O=8o0(8o52`9j13<722c>;7>5;n63e?6=3th9544?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zj;k=6=4<:183!36l3=97E868:J65`=#=h09m6g:6;29?l302900c9>n:188yg4>j3:187>50z&65a<0i2B=555G50g8m6>=831b?h4?::k717<722e?t$43g>24<@?337E;>e:&6e?4f3`?=6=44i4594?=h<9k1<75rb3;`>5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl=a983>6<729q/9<@<;n7);n:3c8m00=831b9:4?::m74d<722wi>4j50;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<53;294~"29m0<>6F9999K14c<,l5f5783>>o2?3:17b:?a;29?xd51l0;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f7gf29086=4?{%72`?153A<246F:1d9'1d<5i2c>:7>5;h74>5<N1111C9>i38h0;66sm2``94?5=83:p(8?k:608L3??3A?:i6*:a;0b?l312900e8950;9l05g=831vn?o?:187>5<7s-?:h79n;I4:<>N29l1b?54?::k0a?6=3`>>>7>5;n63e?6=3th9mn4?:283>5}#=8n1;?5G68:8L07b3-?j6?o4i4494?=n=>0;66a;0`83>>{e:h;1<7:50;2x 07c2>k0D;77;I72a>o403:17d=j:188m1352900c9>n:188yg4fl3:1?7>50z&65a<0:2B=555G50g8 0g=:h1b9;4?::k63?6=3f>;m7>5;|`1e7<72=0;6=u+50f93d=O>020D8?j;h1;>5<5<4290;w);>d;51?M0>02B>=h5+5`81e>o2>3:17d;8:188k16f2900qo=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wi>l;50;194?6|,<;o6:<4H7;;?M36m2.>m75<4?:1y'14b=?;1C:464H43f?!3f2;k0e8850;9j12<722e?1<7>t$43g>2g<@?337E;>e:k05<N1111C91<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn?j=:180>5<7s-?:h79=;I4:<>N29l1/9l4=a:k62?6=3`?<6=44o52b>5<54;294~"29m0>o3=;0;66a;0`83>>{e:m91<7=50;2x 07c2>80D;77;I72a>"2i38j7d;9:188m01=831d8=o50;9~f7e?290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`1`1<72:0;6=u+50f937=O>020D8?j;%7b>7g>i38h0;66sm2b;94?2=83:p(8?k:6c8L3??3A?:i6g<8;29?l5b2900e9;=:188k16f2900qo=i482:J5===O=8o0(8o52`9j13<722c>;7>5;n63e?6=3th9ol4?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zj;n=6=4<:183!36l3=97E868:J65`=#=h09m6g:6;29?l302900c9>n:188yg4dj3:187>50z&65a<0i2B=555G50g8m6>=831b?h4?::k717<722e?t$43g>24<@?337E;>e:&6e?4f3`?=6=44i4594?=h<9k1<75rb3a`>5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl=d983>6<729q/9<@<;n7);n:3c8m00=831b9:4?::m74d<722wi>nj50;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<53;294~"29m0<>6F9999K14c<,l5f5783>>o2?3:17b:?a;29?xd5kl0;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f7b729086=4?{%72`?153A<246F:1d9'1d<5i2c>:7>5;h74>5<N1111C9>i38h0;66sm26094?5=83:p(8?k:608L3??3A?:i6*:a;0b?l312900e8950;9l05g=831vn?88:187>5<7s-?:h79n;I4:<>N29l1b?54?::k0a?6=3`>>>7>5;n63e?6=3th9;94?:283>5}#=8n1;?5G68:8L07b3-?j6?o4i4494?=n=>0;66a;0`83>>{e:?31<7:50;2x 07c2>k0D;77;I72a>o403:17d=j:188m1352900c9>n:188yg40=3:1?7>50z&65a<0:2B=555G50g8 0g=:h1b9;4?::k63?6=3f>;m7>5;|`12d<72=0;6=u+50f93d=O>020D8?j;h1;>5<5<4290;w);>d;51?M0>02B>=h5+5`81e>o2>3:17d;8:188k16f2900qo<9b;290?6=8r.>=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wi>:950;194?6|,<;o6:<4H7;;?M36m2.>m75<4?:1y'14b=?;1C:464H43f?!3f2;k0e8850;9j12<722e?1<7>t$43g>2g<@?337E;>e:k05<N1111C91<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn?9n:180>5<7s-?:h79=;I4:<>N29l1/9l4=a:k62?6=3`?<6=44o52b>5<54;294~"29m0>o3=;0;66a;0`83>>{e:>h1<7=50;2x 07c2>80D;77;I72a>"2i38j7d;9:188m01=831d8=o50;9~f717290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`13f<72:0;6=u+50f937=O>020D8?j;%7b>7g>i38h0;66sm26394?2=83:p(8?k:6c8L3??3A?:i6g<8;29?l5b2900e9;=:188k16f2900qo<83;297?6=8r.>=i482:J5===O=8o0(8o52`9j13<722c>;7>5;n63e?6=3th9:54?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zj8ki6=4<:183!36l3=97E868:J65`=#=h09m6g:6;29?l302900c9>n:188yg7f83:187>50z&65a<0i2B=555G50g8m6>=831b?h4?::k717<722e?t$43g>24<@?337E;>e:&6e?4f3`?=6=44i4594?=h<9k1<75rb0c1>5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl>ae83>6<729q/9<@<;n7);n:3c8m00=831b9:4?::m74d<722wi=l=50;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<53;294~"29m0<>6F9999K14c<,l5f5783>>o2?3:17b:?a;29?xd6i=0;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f4ga29086=4?{%72`?153A<246F:1d9'1d<5i2c>:7>5;h74>5<N1111C9>i38h0;66sm1`494?2=83:p(8?k:6c8L3??3A?:i6g<8;29?l5b2900e9;=:188k16f2900qo?n7;290?6=8r.>=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wi=l650;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<53;294~"29m0<>6F9999K14c<,l5f5783>>o2?3:17b:?a;29?xd6i00;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f4d329086=4?{%72`?153A<246F:1d9'1d<5i2c>:7>5;h74>5<N1111C9>i38h0;66sm1`394?2=83:p(8?k:6c8L3??3A?:i6g<8;29?l5b2900e9;=:188k16f2900qo?if;297?6=8r.>=i482:J5===O=8o0(8o52`9j13<722c>;7>5;n63e?6=3th:j94?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zj;:;6=4<:183!36l3=97E868:J65`=#=h09m6g:6;29?l302900c9>n:188yg7a>3:187>50z&65a<0i2B=555G50g8m6>=831b?h4?::k717<722e?t$43g>24<@?337E;>e:&6e?4f3`?=6=44i4594?=h<9k1<75rb0d4>5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl=0383>6<729q/9<@<;n7);n:3c8m00=831b9:4?::m74d<722wi=k650;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<53;294~"29m0<>6F9999K14c<,l5f5783>>o2?3:17b:?a;29?xd6n00;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f4`f290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`2bg<72=0;6=u+50f93d=O>020D8?j;h1;>5<5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl=0683>6<729q/9<@<;n7);n:3c8m00=831b9:4?::m74d<722wi=kj50;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<54;294~"29m0>o3=;0;66a;0`83>>{e9o?1<7:50;2x 07c2>k0D;77;I72a>o403:17d=j:188m1352900c9>n:188yg7d83:1?7>50z&65a<0:2B=555G50g8 0g=:h1b9;4?::k63?6=3f>;m7>5;|`2f0<72=0;6=u+50f93d=O>020D8?j;h1;>5<5<4290;w);>d;51?M0>02B>=h5+5`81e>o2>3:17d;8:188k16f2900qo?m7;290?6=8r.>=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wi=n<50;194?6|,<;o6:<4H7;;?M36m2.>m75<4?:1y'14b=?;1C:464H43f?!3f2;k0e8850;9j12<722e?1<7>t$43g>2g<@?337E;>e:k05<N1111C9b`83>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn5<7s-?:h79n;I4:<>N29l1b?54?::k0a?6=3`>>>7>5;n63e?6=3th:nn4?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zj8ho6=4;:183!36l3=j7E868:J65`=n;10;66g4?:1y'14b=?;1C:464H43f?!3f2;k0e8850;9j12<722e?1<7>t$43g>2g<@?337E;>e:k05<N1111C9bg83>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn5<7s-?:h79n;I4:<>N29l1b?54?::k0a?6=3`>>>7>5;n63e?6=3th9=94?:283>5}#=8n1;?5G68:8L07b3-?j6?o4i4494?=n=>0;66a;0`83>>{e:931<7:50;2x 07c2>k0D;77;I72a>o403:17d=j:188m1352900c9>n:188yg46=3:1?7>50z&65a<0:2B=555G50g8 0g=:h1b9;4?::k63?6=3f>;m7>5;|`14g<72=0;6=u+50f93d=O>020D8?j;h1;>5<5<4290;w);>d;51?M0>02B>=h5+5`81e>o2>3:17d;8:188k16f2900qo=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wi><950;194?6|,<;o6:<4H7;;?M36m2.>m75<4?:1y'14b=?;1C:464H43f?!3f2;k0e8850;9j12<722e?1<7>t$43g>2g<@?337E;>e:k05<N1111C9>i38h0;66sm20294?2=83:p(8?k:6c8L3??3A?:i6g<8;29?l5b2900e9;=:188k16f2900qo<>1;290?6=8r.>=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wi>m75<k50;9j004=831d8=o50;9~f76f290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`2`0<72:0;6=u+50f937=O>020D8?j;%7b>7g>i38h0;66sm1bc94?2=83:p(8?k:6c8L3??3A?:i6g<8;29?l5b2900e9;=:188k16f2900qo?k6;297?6=8r.>=i482:J5===O=8o0(8o52`9j13<722c>;7>5;n63e?6=3th:on4?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zj8n<6=4<:183!36l3=97E868:J65`=#=h09m6g:6;29?l302900c9>n:188yg7dl3:187>50z&65a<0i2B=555G50g8m6>=831b?h4?::k717<722e?=8391<7>t$43g>24<@?337E;>e:&6e?4f3`?=6=44i4594?=h<9k1<75rb0af>5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl>d883>6<729q/9<@<;n7);n:3c8m00=831b9:4?::m74d<722wi=nh50;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<53;294~"29m0<>6F9999K14c<,l5f5783>>o2?3:17b:?a;29?xd6l90;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f4be29086=4?{%72`?153A<246F:1d9'1d<5i2c>:7>5;h74>5<N1111C9>i38h0;66sm1ea94?5=83:p(8?k:608L3??3A?:i6*:a;0b?l312900e8950;9l05g=831vn5<7s-?:h79n;I4:<>N29l1b?54?::k0a?6=3`>>>7>5;n63e?6=3th:hi4?:283>5}#=8n1;?5G68:8L07b3-?j6?o4i4494?=n=>0;66a;0`83>>{e9m91<7:50;2x 07c2>k0D;77;I72a>o403:17d=j:188m1352900c9>n:188yg7cm3:1?7>50z&65a<0:2B=555G50g8 0g=:h1b9;4?::k63?6=3f>;m7>5;|`2`1<72=0;6=u+50f93d=O>020D8?j;h1;>5<5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl=2883>6<729q/9<@<;n7);n:3c8m00=831b9:4?::m74d<722wi>5;h1f>5<5<53;294~"29m0<>6F9999K14c<,l5f5783>>o2?3:17b:?a;29?xd5:90;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f74e29086=4?{%72`?153A<246F:1d9'1d<5i2c>:7>5;h74>5<N1111C9>i38h0;66sm23a94?5=83:p(8?k:608L3??3A?:i6*:a;0b?l312900e8950;9l05g=831vn?<=:187>5<7s-?:h79n;I4:<>N29l1b?54?::k0a?6=3`>>>7>5;n63e?6=3th9>i4?:283>5}#=8n1;?5G68:8L07b3-?j6?o4i4494?=n=>0;66a;0`83>>{e:;91<7:50;2x 07c2>k0D;77;I72a>o403:17d=j:188m1352900c9>n:188yg45m3:1?7>50z&65a<0:2B=555G50g8 0g=:h1b9;4?::k63?6=3f>;m7>5;|`161<72=0;6=u+50f93d=O>020D8?j;h1;>5<5<4290;w);>d;51?M0>02B>=h5+5`81e>o2>3:17d;8:188k16f2900qo<=5;290?6=8r.>=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wi>>>50;194?6|,<;o6:<4H7;;?M36m2.>m75<4?:1y'14b=?;1C:464H43f?!3f2;k0e8850;9j12<722e?1<7>t$43g>2g<@?337E;>e:k05<N1111C91<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn??i:187>5<7s-?:h79n;I4:<>N29l1b?54?::k0a?6=3`>>>7>5;n63e?6=3th:il4?:283>5}#=8n1;?5G68:8L07b3-?j6?o4i4494?=n=>0;66a;0`83>>{e9ml1<7:50;2x 07c2>k0D;77;I72a>o403:17d=j:188m1352900c9>n:188yg7bj3:1?7>50z&65a<0:2B=555G50g8 0g=:h1b9;4?::k63?6=3f>;m7>5;|`2a4<72=0;6=u+50f93d=O>020D8?j;h1;>5<5<4290;w);>d;51?M0>02B>=h5+5`81e>o2>3:17d;8:188k16f2900qo?j2;290?6=8r.>=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wi=hj50;194?6|,<;o6:<4H7;;?M36m2.>m75<4?:1y'14b=?;1C:464H43f?!3f2;k0e8850;9j12<722e?1<7>t$43g>2g<@?337E;>e:k05<N1111C9e483>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn5<7s-?:h79=;I4:<>N29l1/9l4=a:k62?6=3`?<6=44o52b>5<54;294~"29m0>o3=;0;66a;0`83>>{e9o;1<7=50;2x 07c2>80D;77;I72a>"2i38j7d;9:188m01=831d8=o50;9~f4c0290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`2b7<72:0;6=u+50f937=O>020D8?j;%7b>7g>i38h0;66sm1d:94?2=83:p(8?k:6c8L3??3A?:i6g<8;29?l5b2900e9;=:188k16f2900qo?i3;297?6=8r.>=i482:J5===O=8o0(8o52`9j13<722c>;7>5;n63e?6=3th:i44?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zj8o;6=4;:183!36l3=j7E868:J65`=n;10;66g4?:1y'14b=?;1C:464H43f?!3f2;k0e8850;9j12<722e?1<7>t$43g>2g<@?337E;>e:k05<N1111C91<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn?:?:180>5<7s-?:h79=;I4:<>N29l1/9l4=a:k62?6=3`?<6=44o52b>5<54;294~"29m0>o3=;0;66a;0`83>>{e:=;1<7=50;2x 07c2>80D;77;I72a>"2i38j7d;9:188m01=831d8=o50;9~f750290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`107<72:0;6=u+50f937=O>020D8?j;%7b>7g>i38h0;66sm22:94?2=83:p(8?k:6c8L3??3A?:i6g<8;29?l5b2900e9;=:188k16f2900qo<;3;297?6=8r.>=i482:J5===O=8o0(8o52`9j13<722c>;7>5;n63e?6=3th9?44?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zj;>?6=4<:183!36l3=97E868:J65`=#=h09m6g:6;29?l302900c9>n:188yg44i3:187>50z&65a<0i2B=555G50g8m6>=831b?h4?::k717<722e?t$43g>24<@?337E;>e:&6e?4f3`?=6=44i4594?=h<9k1<75rb31a>5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl=4783>6<729q/9<@<;n7);n:3c8m00=831b9:4?::m74d<722wi>>m50;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<53;294~"29m0<>6F9999K14c<,l5f5783>>o2?3:17b:?a;29?xd5;m0;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f753290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`ga?6=<3:1N1111C9>i38h0;66smf283>6<729q/9n:188ygba290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`e1?6=;3:1020D8?j;h1;>5<k0D;77;I72a>o403:17d=j:188m1352900c9>n:188yg`129086=4?{%72`?0>?2B=555G50g8m6>=831b:h4?::m74d<722wii<4?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zjo=1<7=50;2x 07c2?3<7E868:J65`=n;10;66g9e;29?j27i3:17plj2;290?6=8r.>=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wij54?:283>5}#=8n1:494H7;;?M36m2c847>5;h4f>5<5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pli9;297?6=8r.>=i49969K2<><@<;n7d=7:188m3c=831d8=o50;9~f`2=83>1<7>t$43g>2g<@?337E;>e:k05<5<4290;w);>d;4:3>N1111C9l0;66a;0`83>>{em<0;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~fcd=8391<7>t$43g>3?03A<246F:1d9j7=<722c=i7>5;n63e?6=3thn:7>54;294~"29m0>o3=;0;66a;0`83>>{enj0;6>4?:1y'14b=>0=0D;77;I72a>o403:17d8j:188k16f2900qok8:187>5<7s-?:h79n;I4:<>N29l1b?54?::k0a?6=3`>>>7>5;n63e?6=3thmh7>53;294~"29m0=5:5G68:8L07b3`936=44i7g94?=h<9k1<75rbg694?5=83:p(8?k:7;4?M0>02B>=h5f3983>>o1m3:17b:?a;29?xdem3:187>50z&65a<0i2B=555G50g8m6>=831b?h4?::k717<722e?e:k05<50z&65a<11>1C:464H43f?l5?2900e;k50;9l05g=831vnn>50;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<>i38h0;66smc083>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vni950;194?6|,<;o6;78;I4:<>N29l1b?54?::k5a?6=3f>;m7>5;|``6?6=<3:1N1111C9>i38h0;66smd983>6<729q/9n:188yge4290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`g=?6=;3:1020D8?j;h1;>5<1<7:50;2x 07c2>k0D;77;I72a>o403:17d=j:188m1352900c9>n:188ygbf29086=4?{%72`?0>?2B=555G50g8m6>=831b:h4?::m74d<722wio84?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zjmh1<7=50;2x 07c2?3<7E868:J65`=n;10;66g9e;29?j27i3:17pll6;290?6=8r.>=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wihn4?:283>5}#=8n1:494H7;;?M36m2c847>5;h4f>5<5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17plkd;297?6=8r.>=i49969K2<><@<;n7d=7:188m3c=831d8=o50;9~fa2=8391<7>t$43g>3?03A<246F:1d9j7=<722c=i7>5;n63e?6=3th<>l4?:283>5}#=8n19n5G68:8L07b3-?j6=5f3e83>>o293:17b:>3;29?xd3010;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f1?429086=4?{%72`?153A<246F:1d9'1d5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl;9c83>0<729q/9<@<;n7);n:09j13<722c>;7>5;h7;>5<1C:464H43f?!3f281b9;4?::k63?6=3`?36=44i4;94?=h<9k1<75rb5;3>5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl;9883>6<729q/9<@<;n7);n:368m00=831b9:4?::m74d<722wi85h50;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<247>53;294~"29m0<>6F9999K14c<,l5f5783>>o2?3:17b:?a;29?xd30l0;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f1?029086=4?{%72`?153A<246F:1d9'1d<5i2c>:7>5;h74>5<N1111C9>i38h0;66sm48494?5=83:p(8?k:608L3??3A?:i6*:a;`8m00=831b9:4?::m74d<722wi85m50;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<297>55;294~"29m0<;6F9999K14c<,1<7>t$43g>2g<@?337E;>e:k05<N1111C9>i38h0;66sm48694?5=83:p(8?k:608L3??3A?:i6*:a;`8m00=831b9:4?::m74d<722wi85850;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<53;294~"29m0=5:5G68:8L07b3`936=44i7g94?=h<9k1<75rb55`>5<4290;w);>d;4:3>N1111C9l0;66a;0`83>>{ek0D;77;I72a>o403:17d=j:188m1352900c9>n:188yg20j3:1?7>50z&65a<11>1C:464H43f?l5?2900e;k50;9l05g=831vn98=:187>5<7s-?:h79n;I4:<>N29l1b?54?::k0a?6=3`>>>7>5;n63e?6=3th?;l4?:283>5}#=8n1:494H7;;?M36m2c847>5;h4f>5<N1111C9>i38h0;66sm46;94?5=83:p(8?k:7;4?M0>02B>=h5f3983>>o1m3:17b:?a;29?xd3>90;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f11029086=4?{%72`?0>?2B=555G50g8m6>=831b:h4?::m74d<722wi88k50;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<<:7>53;294~"29m0=5:5G68:8L07b3`936=44i7g94?=h<9k1<75rb57g>5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl;7483>6<729q/9n:188yg22k3:187>50z&65a<0i2B=555G50g8m6>=831b?h4?::k717<722e?t$43g>3?03A<246F:1d9j7=<722c=i7>5;n63e?6=3th?9o4?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zj==86=4<:183!36l3<2;6F9999K14c>i38h0;66sm44c94?2=83:p(8?k:6c8L3??3A?:i6g<8;29?l5b2900e9;=:188k16f2900qo:82;297?6=8r.>=i49969K2<><@<;n7d=7:188m3c=831d8=o50;9~f13>290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`734<72:0;6=u+50f92<1<@?337E;>e:k05<>47>54;294~"29m0>o3=;0;66a;0`83>>{e<>:1<7=50;2x 07c2?3<7E868:J65`=n;10;66g9e;29?j27i3:17pl;5683>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn98i:180>5<7s-?:h7867:J5===O=8o0e>650;9j2`<722e?1<7>t$43g>2g<@?337E;>e:k05<020D8?j;h1;>5<6=4;:183!36l3=j7E868:J65`=n;10;66g4?:1y'14b=>0=0D;77;I72a>o403:17d8j:188k16f2900qo:9b;290?6=8r.>=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wi85:50;194?6|,<;o6;78;I4:<>N29l1b?54?::k5a?6=3f>;m7>5;|`72d<72=0;6=u+50f93d=O>020D8?j;h1;>5<5<4290;w);>d;4:3>N1111C9l0;66a;0`83>>{ek0D;77;I72a>o403:17d=j:188m1352900c9>n:188yg2?:3:1?7>50z&65a<11>1C:464H43f?l5?2900e;k50;9l05g=831vn987:187>5<7s-?:h79n;I4:<>N29l1b?54?::k0a?6=3`>>>7>5;n63e?6=3th?4<4?:283>5}#=8n1:494H7;;?M36m2c847>5;h4f>5<N1111C9>i38h0;66sm49294?5=83:p(8?k:7;4?M0>02B>=h5f3983>>o1m3:17b:?a;29?xd3>?0;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f11a29086=4?{%72`?0>?2B=555G50g8m6>=831b:h4?::m74d<722wi8;;50;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<53;294~"29m0=5:5G68:8L07b3`936=44i7g94?=h<9k1<75rb547>5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl;7983>6<729q/9n:188yg22n3:187>50z&65a<0i2B=555G50g8m6>=831b?h4?::k717<722e?t$43g>3?03A<246F:1d9j7=<722c=i7>5;n63e?6=3th?994?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zj<;26=4::183!36l3>=7E868:J65`=#=h0;7d=9:188m61=831b?i4?::k751<722e?=>4?::a0f>=83>1<7>t$43g>13<@?337E;>e:&6e?6>o39=0;66a;1283>>{e"2i3:0e>850;9j7a<722c?=94?::m756<722wi8n850;694?6|,<;o69;4H7;;?M36m2.>m7>4i2494?=n;m0;66g;1583>>i39:0;66sm4b794?2=83:p(8?k:578L3??3A?:i6*:a;28m60=831b?i4?::k751<722e?=>4?::a0f2=83>1<7>t$43g>13<@?337E;>e:&6e?6>o39=0;66a;1283>>{e"2i3:0e>850;9j7a<722c?=94?::m756<722wi8n?50;694?6|,<;o69;4H7;;?M36m2.>m7>4i2494?=n;m0;66g;1583>>i39:0;66sm4b294?2=83:p(8?k:578L3??3A?:i6*:a;28m60=831b?i4?::k751<722e?=>4?::a0g`=83>1<7>t$43g>13<@?337E;>e:&6e?6>o39=0;66a;1283>>{e"2i3:0e>850;9j7a<722c?=94?::m756<722wi8oj50;694?6|,<;o69;4H7;;?M36m2.>m7>4i2494?=n;m0;66g;1583>>i39:0;66sm4ca94?2=83:p(8?k:578L3??3A?:i6*:a;28m60=831b?i4?::k751<722e?=>4?::a0gd=83>1<7>t$43g>13<@?337E;>e:&6e?6>o39=0;66a;1283>>{e"2i3:0e>850;9j7a<722c?=94?::m756<722wi8o750;694?6|,<;o69;4H7;;?M36m2.>m7>4i2494?=n;m0;66g;1583>>i39:0;66sm4bd94?2=83:p(8?k:578L3??3A?:i6*:a;28m60=831b?i4?::k751<722e?=>4?::a0fc=83>1<7>t$43g>13<@?337E;>e:&6e?6>o39=0;66a;1283>>{e"2i3:0e>850;9j7a<722c?=94?::m756<722wi8nm50;694?6|,<;o69;4H7;;?M36m2.>m7>4i2494?=n;m0;66g;1583>>i39:0;66sm4b`94?2=83:p(8?k:578L3??3A?:i6*:a;28m60=831b?i4?::k751<722e?=>4?::a0fg=83>1<7>t$43g>13<@?337E;>e:&6e?6>o39=0;66a;1283>>{e"2i3:0e>850;9j7a<722c?=94?::m756<722wi8n=50;694?6|,<;o69;4H7;;?M36m2.>m7>4i2494?=n;m0;66g;1583>>i39:0;66sm4c:94?2=83:p(8?k:578L3??3A?:i6*:a;28m60=831b?i4?::k751<722e?=>4?::a0g1=83>1<7>t$43g>13<@?337E;>e:&6e?6>o39=0;66a;1283>>{e=?31<7=50;2x 07c2?3<7E868:J65`=n;10;66g9e;29?j27i3:17pl:6983>6<729q/9n:188yg32k3:187>50z&65a<0i2B=555G50g8m6>=831b?h4?::k717<722e?t$43g>3?03A<246F:1d9j7=<722c=i7>5;n63e?6=3th>9l4?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zj<<=6=4<:183!36l3<2;6F9999K14c>i38h0;66sm54:94?2=83:p(8?k:6c8L3??3A?:i6g<8;29?l5b2900e9;=:188k16f2900qo;95;297?6=8r.>=i49969K2<><@<;n7d=7:188m3c=831d8=o50;9~f031290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`621<72:0;6=u+50f92<1<@?337E;>e:k05<87>54;294~"29m0>o3=;0;66a;0`83>>{e=?91<7=50;2x 07c2?3<7E868:J65`=n;10;66g9e;29?j27i3:17pl:5383>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn88=:180>5<7s-?:h7867:J5===O=8o0e>650;9j2`<722e?1<7>t$43g>2g<@?337E;>e:k05<020D8?j;h1;>5<n6=4;:183!36l3=j7E868:J65`=n;10;66g90;6>4?:1y'14b=>0=0D;77;I72a>o403:17d8j:188k16f2900qo;;d;290?6=8r.>=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wi99m50;194?6|,<;o6;78;I4:<>N29l1b?54?::k5a?6=3f>;m7>5;|`60g<72:0;6=u+50f92<1<@?337E;>e:k05<54;294~"29m0>o3=;0;66a;0`83>>{e==k1<7=50;2x 07c2?3<7E868:J65`=n;10;66g9e;29?j27i3:17pl:3e83>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn8:6:180>5<7s-?:h7867:J5===O=8o0e>650;9j2`<722e?1<7>t$43g>2g<@?337E;>e:k05<020D8?j;h1;>5<0;6>4?:1y'14b=>0=0D;77;I72a>o403:17d8j:188k16f2900qo;<7;290?6=8r.>=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wi99850;194?6|,<;o6;78;I4:<>N29l1b?54?::k5a?6=3f>;m7>5;|`670<72=0;6=u+50f93d=O>020D8?j;h1;>5<5<4290;w);>d;4:3>N1111C9l0;66a;0`83>>{e=:91<7:50;2x 07c2>k0D;77;I72a>o403:17d=j:188m1352900c9>n:188yg33<3:1?7>50z&65a<11>1C:464H43f?l5?2900e;k50;9l05g=831vn8=>:187>5<7s-?:h79n;I4:<>N29l1b?54?::k0a?6=3`>>>7>5;n63e?6=3th>8>4?:283>5}#=8n1:494H7;;?M36m2c847>5;h4f>5<N1111C9>i38h0;66sm5`694?5=83:p(8?k:7;4?M0>02B>=h5f3983>>o1m3:17b:?a;29?xd2i:0;6>4?:1y'14b=>0=0D;77;I72a>o403:17d8j:188k16f2900qo;67;290?6=8r.>=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wi9l<50;194?6|,<;o6;78;I4:<>N29l1b?54?::k5a?6=3f>;m7>5;|`6=0<72=0;6=u+50f93d=O>020D8?j;h1;>5<5<4290;w);>d;4:3>N1111C9l0;66a;0`83>>{e=091<7:50;2x 07c2>k0D;77;I72a>o403:17d=j:188m1352900c9>n:188yg3f83:1?7>50z&65a<11>1C:464H43f?l5?2900e;k50;9l05g=831vn87>:187>5<7s-?:h79n;I4:<>N29l1b?54?::k0a?6=3`>>>7>5;n63e?6=3th>5k4?:283>5}#=8n1:494H7;;?M36m2c847>5;h4f>5<N1111C9>i38h0;66sm58g94?5=83:p(8?k:7;4?M0>02B>=h5f3983>>o1m3:17b:?a;29?xd20m0;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f0?c29086=4?{%72`?0>?2B=555G50g8m6>=831b:h4?::m74d<722wi95l50;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<53;294~"29m0=5:5G68:8L07b3`936=44i7g94?=h<9k1<75rb4::>5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl:9c83>6<729q/9n:188yg3?03:187>50z&65a<0i2B=555G50g8m6>=831b?h4?::k717<722e?t$43g>3?03A<246F:1d9j7=<722c=i7>5;n63e?6=3th>484?:283>5}#=8n1:494H7;;?M36m2c847>5;h4f>5<N1111C9>i38h0;66sm59694?5=83:p(8?k:7;4?M0>02B>=h5f3983>>o1m3:17b:?a;29?xd2?>0;694?:1y'14b=?h1C:464H43f?l5?2900e>k50;9j004=831d8=o50;9~f0>429086=4?{%72`?0>?2B=555G50g8m6>=831b:h4?::m74d<722wi9:;50;694?6|,<;o6:o4H7;;?M36m2c847>5;h1f>5<5<7>53;294~"29m0=5:5G68:8L07b3`936=44i7g94?=h<9k1<75rb450>5<3290;w);>d;5b?M0>02B>=h5f3983>>o4m3:17d::2;29?j27i3:17pl:8083>6<729q/9n:188yg3093:187>50z&65a<0i2B=555G50g8m6>=831b?h4?::k717<722e?t$43g>3?03A<246F:1d9j7=<722c=i7>5;n63e?6=3th>:k4?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zj<=m6=4<:183!36l3<2;6F9999K14c>i38h0;66sm57f94?2=83:p(8?k:6c8L3??3A?:i6g<8;29?l5b2900e9;=:188k16f2900qo;8e;297?6=8r.>=i49969K2<><@<;n7d=7:188m3c=831d8=o50;9~f00e290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`63a<72:0;6=u+50f92<1<@?337E;>e:k05<54;294~"29m0>o3=;0;66a;0`83>>{e=l<1<7=50;2x 07c2?3<7E868:J65`=n;10;66g9e;29?j27i3:17pl:e483>6<729q/9n:188yg3dn3:187>50z&65a<0i2B=555G50g8m6>=831b?h4?::k717<722e?t$43g>3?03A<246F:1d9j7=<722c=i7>5;n63e?6=3th>oh4?:583>5}#=8n1;l5G68:8L07b3`936=44i2g94?=n<<81<75`41c94?=zj>i38h0;66sm5bf94?2=83:p(8?k:6c8L3??3A?:i6g<8;29?l5b2900e9;=:188k16f2900qo;j2;297?6=8r.>=i49969K2<><@<;n7d=7:188m3c=831d8=o50;9~f0ee290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`6a4<72:0;6=u+50f92<1<@?337E;>e:k05<54;294~"29m0>o3=;0;66a;0`83>>{e=l:1<7=50;2x 07c2?3<7E868:J65`=n;10;66g9e;29?j27i3:17pl:c883>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn8ji:180>5<7s-?:h7867:J5===O=8o0e>650;9j2`<722e?=83>1<7>t$43g>2g<@?337E;>e:k05<020D8?j;h1;>5<4?:1y'14b=>0=0D;77;I72a>o403:17d8j:188k16f2900qo;l4;297?6=8r.>=i49969K2<><@<;n7d=7:188m3c=831d8=o50;9~f0d7290?6=4?{%72`?1f3A<246F:1d9j7=<722c8i7>5;h666?6=3f>;m7>5;|`6g6<72:0;6=u+50f92<1<@?337E;>e:k05<54;294~"29m0>o3=;0;66a;0`83>>{e=j81<7=50;2x 07c2?3<7E868:J65`=n;10;66g9e;29?j27i3:17pl:ae83>1<729q/9<@<;n7d=7:188m6c=831b88<50;9l05g=831vn8m>:180>5<7s-?:h7867:J5===O=8o0e>650;9j2`<722e?1<7>t$43g>2g<@?337E;>e:k05<020D8?j;h1;>5<4?:1y'14b=>0=0D;77;I72a>o403:17d8j:188k16f2900qo;n9;290?6=8r.>=i48a:J5===O=8o0e>650;9j7`<722c?9?4?::m74d<722wi9ok50;194?6|,<;o6;78;I4:<>N29l1b?54?::k5a?6=3f>;m7>5;|`6e=<72=0;6=u+50f93d=O>020D8?j;h1;>5<5<4290;w);>d;4:3>N1111C9l0;66a;0`83>>{e=h<1<7:50;2x 07c2>k0D;77;I72a>o403:17d=j:188m1352900c9>n:188yg0013:187>50z&65a<3=2B=555G50g8m60=831b?i4?::k751<722e?=>4?::a22>=83>1<7>t$43g>13<@?337E;>e:k02?6=3`9o6=44i537>5<N1111C9>i39:0;66sm66494?2=83:p(8?k:578L3??3A?:i6g<6;29?l5c2900e9?;:188k1742900qo885;290?6=8r.>=i4;5:J5===O=8o0e>850;9j7a<722c?=94?::m756<722wi:::50;694?6|,<;o69;4H7;;?M36m2c8:7>5;h1g>5<5<54;294~"29m0?96F9999K14c>o39=0;66a;1283>>{e>>81<7:50;2x 07c2=?0D;77;I72a>o4>3:17d=k:188m1732900c9?<:188yg0093:187>50z&65a<3=2B=555G50g8m60=831b?i4?::k751<722e?=>4?::a226=83>1<7>t$43g>13<@?337E;>e:k02?6=3`9o6=44i537>5<N1111C90;66g3;29?xd10j0;684?:1y'14b=950;9j7a<722c?=94?::m756<722wi:5l50;794?6|,<;o6984H7;;?M36m2c8:7>5;h14>5<5<2290;w);>d;65?M0>02B>=h5f3783>>o4?3:17d=k:188m1732900c9?<:188yg0?13:197>50z&65a<3>2B=555G50g8m60=831b?:4?::k0`?6=3`>:87>5;n627?6=3th=454?:483>5}#=8n18;5G68:8L07b3`9=6=44i2594?=n;m0;66g;1583>>i39:0;66sm69594?3=83:p(8?k:548L3??3A?:i6g<6;29?l502900e>j50;9j042=831d8<=50;9~f3>1290>6=4?{%72`?213A<246F:1d9j73<722c8;7>5;h1g>5<5<55;294~"29m0?:6F9999K14c>o4l3:17d:>4;29?j26;3:17pl98583>0<729q/9<@<;n7d=9:188m61=831b?i4?::k751<722e?=>4?::a204=83>1<7>t$43g>12<@?337E;>e:k02?6=3`9<6=44i2f94?=h<891<75rb772>5<3290;w);>d;67?M0>02B>=h5f3783>>o4?3:17d=k:188k1742900qo8:0;290?6=8r.>=i4;4:J5===O=8o0e>850;9j72<722c8h7>5;n627?6=3th=8k4?:583>5}#=8n1895G68:8L07b3`9=6=44i2594?=n;m0;66a;1283>>{e>=o1<7:50;2x 07c2=>0D;77;I72a>o4>3:17d=8:188m6b=831d8<=50;9~f32c290?6=4?{%72`?233A<246F:1d9j73<722c8;7>5;h1g>5<N1111C90;66g1<729q/9<@<;n7d=9:188m61=831b?i4?::m756<722wi:9o50;694?6|,<;o69:4H7;;?M36m2c8:7>5;h14>5<26=4;:183!36l3>?7E868:J65`=n;?0;66g<7;29?l5c2900c9?<:188yg06j3:187>50z&65a<3<2B=555G50g8m60=831b?:4?::k0`?6=3f>:?7>5;|`55d<72=0;6=u+50f901=O>020D8?j;h15>5<>i39:0;66sm60;94?2=83:p(8?k:568L3??3A?:i6g<6;29?l502900e>j50;9l045=831vn;?7:187>5<7s-?:h7:;;I4:<>N29l1b?;4?::k03?6=3`9o6=44o530>5<54;294~"29m0?86F9999K14c>o4l3:17b:>3;29?xd19?0;694?:1y'14b=<=1C:464H43f?l512900e>950;9j7a<722e?=>4?::a243=83>1<7>t$43g>12<@?337E;>e:k02?6=3`9<6=44i2f94?=h<891<75rb737>5<3290;w);>d;67?M0>02B>=h5f3783>>o4?3:17d=k:188k1742900qo8>3;290?6=8r.>=i4;4:J5===O=8o0e>850;9j72<722c8h7>5;n627?6=3th==?4?:583>5}#=8n1895G68:8L07b3`9=6=44i2594?=n;m0;66a;1283>>{e>9;1<7:50;2x 07c2=?0D;77;I72a>o4>3:17d=k:188m1732900c9?<:188yg3bk3:187>50z&65a<3=2B=555G50g8m60=831b?i4?::k751<722e?=>4?::a176=83>1<7>t$43g>13<@?337E;>e:k02?6=3`9o6=44i537>5<N1111C9>i39:0;66sm61794?2=83:p(8?k:578L3??3A?:i6g<6;29?l5c2900e9?;:188k1742900qo9;7;290?6=8r.>=i485:J5===O=8o0(8o54:k62?6=3`?<6=44i4:94?=h<9k1<75rb617>5<2290;w);>d;54?M0>02B>=h5+5`820>o2>3:17d;8:188m0>=831b944?::m74d<722wi;>o50;794?6|,<;o6:94H7;;?M36m2.>m7k4i4494?=n=>0;66g:8;29?l3>2900c9>n:188yg14j3:1?7>50z&65a<0:2B=555G50g8 0g=:=1b9;4?::k63?6=3f>;m7>5;|`47f<72<0;6=u+50f932=O>020D8?j;%7b>70>o203:17d;6:188k16f2900qo9=i487:J5===O=8o0(8o5309j13<722c>;7>5;h7;>5<1<7>t$43g>23<@?337E;>e:&6e?423`?=6=44i4594?=n=10;66a;0`83>>{e=;k1<7:50;2x 07c2>?0D;77;I72a>"2i3>:7d;9:188m01=831b954?::m74d<722wi9?750;794?6|,<;o6:94H7;;?M36m2.>m7?4i4494?=n=>0;66g:8;29?l3>2900c9>n:188yg3703:1?7>50z&65a<0:2B=555G50g8 0g=991b9;4?::k63?6=3f>;m7>5;|`643<72:0;6=u+50f937=O>020D8?j;%7b>46>i38h0;66sm51694?5=83:p(8?k:608L3??3A?:i6*:a;33?l312900e8950;9l05g=831vn;=<:187>5<7s-?:h79:;I4:<>N29l1/9l49;h75>5<>i38h0;66sm51094?5=83:p(8?k:608L3??3A?:i6*:a;33?l312900e8950;9l05g=831vn8>?:180>5<7s-?:h79=;I4:<>N29l1/9l4>0:k62?6=3`?<6=44o52b>5<mo7>53;294~"29m0<>6F9999K14c<,>o2?3:17b:?a;29?xd3nh0;6>4?:1y'14b=?;1C:464H43f?!3f28:0e8850;9j12<722e?=8391<7>t$43g>24<@?337E;>e:&6e?773`?=6=44i4594?=h<9k1<75rb5d5>5<4290;w);>d;51?M0>02B>=h5+5`824>o2>3:17d;8:188k16f2900qo:i4;297?6=8r.>=i482:J5===O=8o0(8o5119j13<722c>;7>5;n63e?6=3th?j?4?:283>5}#=8n1;?5G68:8L07b3-?j6<>4i4494?=n=>0;66a;0`83>>{e>:=1<7:50;2x 07c2>?0D;77;I72a>"2i3><7d;9:188m01=831b954?::m74d<722wi:>;50;794?6|,<;o6:94H7;;?M36m2.>m7?4i4494?=n=>0;66g:8;29?l3>2900c9>n:188yg2a83:1?7>50z&65a<0:2B=555G50g8 0g=991b9;4?::k63?6=3f>;m7>5;|`7a`<72:0;6=u+50f937=O>020D8?j;%7b>46>i38h0;66sm4da94?5=83:p(8?k:608L3??3A?:i6*:a;33?l312900e8950;9l05g=831vn9kn:180>5<7s-?:h79=;I4:<>N29l1/9l4>0:k62?6=3`?<6=44o52b>5<53;294~"29m0<>6F9999K14c<,>o2?3:17b:?a;29?xd29?0;6>4?:1y'14b=?;1C:464H43f?!3f28:0e8850;9j12<722e?t$43g>24<@?337E;>e:&6e?773`?=6=44i4594?=h<9k1<75rb431>5<4290;w);>d;51?M0>02B>=h5+5`824>o2>3:17d;8:188k16f2900qo;>0;297?6=8r.>=i482:J5===O=8o0(8o5119j13<722c>;7>5;n63e?6=3th>5}#=8n1;?5G68:8L07b3-?j6<>4i4494?=n=>0;66a;0`83>>{e=9i1<7=50;2x 07c2>80D;77;I72a>"2i3;;7d;9:188m01=831d8=o50;9~f06f29086=4?{%72`?153A<246F:1d9'1d<682c>:7>5;h74>5<N1111C90<729q/9<@<;n7);n:428m00=831b9:4?::k65<55;294~"29m0<;6F9999K14c<,=83?1<7>t$43g>21<@?337E;>e:&6e?2b3`?=6=44i4594?=n=10;66g:9;29?j27i3:17pl93883>0<729q/9<@<;n7);n:`9j13<722c>;7>5;h7;>5<<6g:6;29?l302900e8650;9j1<<722e?1<7>t$43g>23<@?337E;>e:&6e?273`?=6=44i4594?=n=10;66a;0`83>>{e>:;1<7;50;2x 07c2>=0D;77;I72a>"2i3;<7d;9:188m01=831b954?::k6=?6=3f>;m7>5;|`467<72=0;6=u+50f930=O>020D8?j;%7b>11>o203:17b:?a;29?xd?<<0;684?:1y'14b=?>1C:464H43f?!3f2<:0e8850;9j12<722c>47>5;h7:>5<N1111C9o2>3:17d;8:188m0>=831d8=o50;9~f201290?6=4?{%72`?123A<246F:1d9'1d<4:2c>:7>5;h74>5<=83?1<7>t$43g>21<@?337E;>e:&6e?7b3`?=6=44i4594?=n=10;66g:9;29?j27i3:17pl9a783>0<729q/9<@<;n7);n:0g8m00=831b9:4?::k65<55;294~"29m0<;6F9999K14c<,>o2?3:17d;7:188m0?=831d8=o50;9~f46?290>6=4?{%72`?103A<246F:1d9'1d<592c>:7>5;h74>5<>i38h0;66sm11494?2=83:p(8?k:678L3??3A?:i6*:a;03?l312900e8950;9j1=<722e?t$43g>24<@?337E;>e:&6e?7e3`?=6=44i4594?=h<9k1<75rb3d;>5<2290;w);>d;54?M0>02B>=h5+5`804>o2>3:17d;8:188m0>=831b944?::m74d<722wi:o:50;794?6|,<;o6:94H7;;?M36m2.>m794i4494?=n=>0;66g:8;29?l3>2900c9>n:188yg0e=3:187>50z&65a<0=2B=555G50g8 0g=<2c>:7>5;h74>5<t$43g>21<@?337E;>e:&6e?463`?=6=44i4594?=n=10;66g:9;29?j27i3:17pl>0383>1<729q/9<@<;n7);n:328m00=831b9:4?::k6;m7>5;|`444<72:0;6=u+50f937=O>020D8?j;%7b>4d>i38h0;66sm70594?5=83:p(8?k:608L3??3A?:i6*:a;0b?l312900e8950;9l05g=831vn:>7:186>5<7s-?:h798;I4:<>N29l1/9l4=3:k62?6=3`?<6=44i4:94?=n=00;66a;0`83>>{e:o=1<7:50;2x 07c2>?0D;77;I72a>"2i3>0e8850;9j12<722c>47>5;n63e?6=3th<<;4?:283>5}#=8n1;?5G68:8L07b3-?j6?o4i4494?=n=>0;66a;0`83>>{e>o=1<7;50;2x 07c2>=0D;77;I72a>"2i3887d;9:188m01=831b954?::k6=?6=3f>;m7>5;|`1bd<72:0;6=u+50f937=O>020D8?j;%7b>6`>i38h0;66sm2g;94?3=83:p(8?k:658L3??3A?:i6*:a;13?l312900e8950;9j1=<722c>57>5;n63e?6=3th9j94?:483>5}#=8n1;:5G68:8L07b3-?j6>>4i4494?=n=>0;66g:8;29?l3>2900c9>n:188yg>f?3:1?7>50z&65a<0:2B=555G50g8 0g=:=1b9;4?::k63?6=3f>;m7>5;|`;e4<72:0;6=u+50f937=O>020D8?j;%7b>46>i38h0;66sm8`094?3=83:p(8?k:658L3??3A?:i6*:a;0e?l312900e8950;9j1=<722c>57>5;n63e?6=3th9j>4?:583>5}#=8n1;85G68:8L07b3-?j695f5783>>o2?3:17d;7:188k16f2900qo=i482:J5===O=8o0(8o53g9j13<722c>;7>5;n63e?6=3th9j84?:483>5}#=8n1;:5G68:8L07b3-?j6>>4i4494?=n=>0;66g:8;29?l3>2900c9>n:188yg3329096=4?{%72`?27j2B=555G50g8m03=831d8=o50;9~f3?229096=4?{%72`?27j2B=555G50g8m03=831d8=o50;9~f17529096=4?{%72`?27j2B=555G50g8m03=831d8=o50;9~f14229096=4?{%72`?27j2B=555G50g8m03=831d8=o50;9~f14329096=4?{%72`?27j2B=555G50g8m03=831d8=o50;9~f14429096=4?{%72`?27j2B=555G50g8m03=831d8=o50;9~f14529096=4?{%72`?27j2B=555G50g8m03=831d8=o50;9~f14629096=4?{%72`?27j2B=555G50g8m03=831d8=o50;9~f14729096=4?{%72`?27j2B=555G50g8m03=831d8=o50;9~f17a29096=4?{%72`?27j2B=555G50g8m03=831d8=o50;9~f17b29096=4?{%72`?27j2B=555G50g8m03=831d8=o50;9~f3b=8381<7>t$43g>16e3A<246F:1d9j10<722e?e:k61?6=3f>;m7>5;|`5f?6=:3:1020D8?j;h76>5<5<5290;w);>d;63f>N1111C902B>=h5f5483>>i38h0;66sm6983>7<729q/9=i4;0c9K2<><@<;n7d;::188k16f2900qo89:181>5<7s-?:h7:?b:J5===O=8o0e8;50;9l05g=831vn>?l:181>5<7s-?:h79>;I4:<>N29l1/9l4>0:k62?6=3f>;m7>5;|`05c<72;0;6=u+50f934=O>020D8?j;%7b>460g83>7<729q/9<@<;n7);n:028m00=831d8=o50;9~f47629096=4?{%72`?163A<246F:1d9'1d<682c>:7>5;n63e?6=3th:=>4?:383>5}#=8n1;<5G68:8L07b3-?j6<>4i4494?=h<9k1<75rb036>5<5290;w);>d;52?M0>02B>=h5+5`824>o2>3:17b:?a;29?xd69>0;6?4?:1y'14b=?81C:464H43f?!3f28:0e8850;9l05g=831vn5<7s-?:h79>;I4:<>N29l1/9l4>0:k62?6=3f>;m7>5;|`26g<72;0;6=u+50f934=O>020D8?j;%7b>463183>7<729q/9<@<;n7);n:028m00=831d8=o50;9~f45529096=4?{%72`?163A<246F:1d9'1d<682c>:7>5;n63e?6=3th:?94?:383>5}#=8n1;<5G68:8L07b3-?j6<>4i4494?=h<9k1<75rb015>5<5290;w);>d;52?M0>02B>=h5+5`824>o2>3:17b:?a;29?xd6;10;6?4?:1y'14b=?81C:464H43f?!3f28:0e8850;9l05g=831vn>:>:181>5<7s-?:h79>;I4:<>N29l1/9l4>0:k62?6=3f>;m7>5;|`001<72;0;6=u+50f934=O>020D8?j;%7b>46n1<7<50;2x 07c2>;0D;77;I72a>"2i3;;7d;9:188k16f2900qo9i:181>5<7s-?:h79>;I4:<>N29l1/9l4>0:k62?6=3f>;m7>5;|`:a?6=:3:1N1111C9>{e;?<1<7<50;2x 07c2>;0D;77;I72a>"2i3;;7d;9:188k16f2900qo=99;296?6=8r.>=i481:J5===O=8o0(8o5119j13<722e?t$43g>27<@?337E;>e:&6e?773`?=6=44o52b>5<52;294~"29m0<=6F9999K14c<,>i38h0;66sm70194?5=83:p(8?k:608L3??3A?:i6*:a;3a?l312900e8950;9l05g=831vn<>8:187>5<7s-?:h79:;I4:<>N29l1/9l4=0:k62?6=3`?<6=44i4:94?=h<9k1<75rb02:>5<2290;w);>d;54?M0>02B>=h5+5`815>o2>3:17d;8:188m0>=831b944?::m74d<722wi;<650;194?6|,<;o6:<4H7;;?M36m2.>m75<6=4=:183!36l3=:7E868:J65`=#=h0:<6g:6;29?j27i3:17pl<8983>7<729q/9<@<;n7);n:028m00=831d8=o50;9~f40429096=4?{%72`?163A<246F:1d9'1d<682c>:7>5;n63e?6=3th::;4?:383>5}#=8n1;<5G68:8L07b3-?j6<>4i4494?=h<9k1<75rb621>5<4290;w);>d;51?M0>02B>=h5+5`82f>o2>3:17d;8:188k16f2900qo??3;290?6=8r.>=i485:J5===O=8o0(8o5219j13<722c>;7>5;h7;>5<N1111C98:180>5<7s-?:h79=;I4:<>N29l1/9l4=a:k62?6=3`?<6=44o52b>5<52;294~"29m0<=6F9999K14c<,>i38h0;66sm28294?4=83:p(8?k:638L3??3A?:i6*:a;33?l312900c9>n:188yg2?j3:1>7>50z&65a<092B=555G50g8 0g=991b9;4?::m74d<722wi85950;094?6|,<;o6:?4H7;;?M36m2.>m7??;h75>5<N1111C9>{e=;0D;77;I72a>"2i3;;7d;9:188k16f2900qo;:9;296?6=8r.>=i481:J5===O=8o0(8o5119j13<722e?t$43g>27<@?337E;>e:&6e?773`?=6=44o52b>5<97>52;294~"29m0<=6F9999K14c<,>i38h0;66sm54194?4=83:p(8?k:638L3??3A?:i6*:a;33?l312900c9>n:188yg3293:1>7>50z&65a<092B=555G50g8 0g=991b9;4?::m74d<722wi99h50;094?6|,<;o6:?4H7;;?M36m2.>m7??;h75>5<N1111C9>{e=:o1<7<50;2x 07c2>;0D;77;I72a>"2i3;;7d;9:188k16f2900qo;=i481:J5===O=8o0(8o5119j13<722e?t$43g>27<@?337E;>e:&6e?773`?=6=44o52b>5<52;294~"29m0<=6F9999K14c<,>i38h0;66sm52494?4=83:p(8?k:638L3??3A?:i6*:a;33?l312900c9>n:188yg34<3:1>7>50z&65a<092B=555G50g8 0g=991b9;4?::m74d<722wi9><50;094?6|,<;o6:?4H7;;?M36m2.>m7??;h75>5<N1111C9>{e=0<1<7<50;2x 07c2>;0D;77;I72a>"2i3;;7d;9:188k16f2900qo;64;296?6=8r.>=i481:J5===O=8o0(8o5119j13<722e?t$43g>27<@?337E;>e:&6e?773`?=6=44o52b>5<52;294~"29m0<=6F9999K14c<,>i38h0;66sm59g94?4=83:p(8?k:638L3??3A?:i6*:a;33?l312900c9>n:188yg3?k3:1>7>50z&65a<092B=555G50g8 0g=991b9;4?::m74d<722wi95o50;094?6|,<;o6:?4H7;;?M36m2.>m7??;h75>5<N1111C9>{e=>21<7<50;2x 07c2>;0D;77;I72a>"2i3;;7d;9:188k16f2900qo;86;296?6=8r.>=i481:J5===O=8o0(8o5119j13<722e?t$43g>27<@?337E;>e:&6e?773`?=6=44o52b>5<7>52;294~"29m0<=6F9999K14c<,>i38h0;66sm56294?4=83:p(8?k:638L3??3A?:i6*:a;33?l312900c9>n:188yg31m3:1>7>50z&65a<092B=555G50g8 0g=991b9;4?::m74d<722wi9;m50;094?6|,<;o6:?4H7;;?M36m2.>m7??;h75>5<N1111C9>{e=j=1<7<50;2x 07c2>;0D;77;I72a>"2i3;;7d;9:188k16f2900qo;nf;296?6=8r.>=i481:J5===O=8o0(8o5119j13<722e?t$43g>27<@?337E;>e:&6e?773`?=6=44o52b>5<52;294~"29m0<=6F9999K14c<,>i38h0;66sm10d94?4=83:p(8?k:638L3??3A?:i6*:a;33?l312900c9>n:188yg74m3:1>7>50z&65a<092B=555G50g8 0g=991b9;4?::m74d<722wi;=o50;694?6|,<;o6:;4H7;;?M36m2.>m75<>i38h0;66sm6g;94?2=83:p(8?k:678L3??3A?:i6*:a;0f?l312900e8950;9j1=<722e?t$43g>27<@?337E;>e:&6e?773`?=6=44o52b>5<52;294~"29m0<=6F9999K14c<,>i38h0;66sm2da94?5=83:p(8?k:608L3??3A?:i6*:a;1e?l312900e8950;9l05g=831vn?kj:180>5<7s-?:h79=;I4:<>N29l1/9l45<53;294~"29m0<>6F9999K14c<,>o2?3:17b:?a;29?xd5n;0;6>4?:1y'14b=?;1C:464H43f?!3f2:l0e8850;9j12<722e?=83?1<7>t$43g>21<@?337E;>e:&6e?713`?=6=44i4594?=n=10;66g:9;29?j27i3:17pl<9183>0<729q/9<@<;n7);n:0;8m00=831b9:4?::k65<55;294~"29m0<;6F9999K14c<,>o2?3:17d;7:188m0?=831d8=o50;9~f=g1290>6=4?{%72`?103A<246F:1d9'1d<3i2c>:7>5;h74>5<>i38h0;66sm88d94?5=83:p(8?k:608L3??3A?:i6*:a;01?l312900e8950;9l05g=831vn>78:186>5<7s-?:h798;I4:<>N29l1/9l4>6:k62?6=3`?<6=44i4:94?=n=00;66a;0`83>>{e;0<1<7:50;2x 07c2>?0D;77;I72a>"2i3;37d;9:188m01=831b954?::m74d<722wi?4;50;194?6|,<;o6:<4H7;;?M36m2.>m7?m;h75>5<;26=4::183!36l3=<7E868:J65`=#=h0:>6g:6;29?l302900e8650;9j1<<722e?t$43g>21<@?337E;>e:&6e?7>3`?=6=44i4594?=n=10;66g:9;29?j27i3:17pl73383>1<729q/9<@<;n7);n:99j13<722c>;7>5;h7;>5<N1111C9o2>3:17d;8:188m0>=831d8=o50;9~f=4b290?6=4?{%72`?123A<246F:1d9'1d>{e0;i1<7:50;2x 07c2>?0D;77;I72a>"2i320e8850;9j12<722c>47>5;n63e?6=3th8;54?:483>5}#=8n1;:5G68:8L07b3-?j6<84i4494?=n=>0;66g:8;29?l3>2900c9>n:188yg50?3:187>50z&65a<0=2B=555G50g8 0g=911b9;4?::k63?6=3`?36=44o52b>5<53;294~"29m0<>6F9999K14c<,>o2?3:17b:?a;29?xd?:h0;694?:1y'14b=?<1C:464H43f?!3f211b9;4?::k63?6=3`?36=44o52b>5<54;294~"29m0<96F9999K14c<,5<7s-?:h79:;I4:<>N29l1/9l4>1:k62?6=3`?<6=44i4:94?=h<9k1<75rb907>5<3290;w);>d;56?M0>02B>=h5+5`825>o2>3:17d;8:188m0>=831d8=o50;9~f2`>290>6=4?{%72`?103A<246F:1d9'1d<63`?=6=44i4594?=n=10;66g:9;29?j27i3:17pl>8b83>0<729q/9<@<;n7);n:428m00=831b9:4?::k65<55;294~"29m0<;6F9999K14c<,>o2?3:17d;7:188m0?=831d8=o50;9~f=6c290>6=4?{%72`?103A<246F:1d9'1d<63`?=6=44i4594?=n=10;66g:9;29?j27i3:17pl70g83>0<729q/9<@<;n7);n:09j13<722c>;7>5;h7;>5<;m7>5;|`;57<72<0;6=u+50f932=O>020D8?j;%7b>4=n=?0;66g:7;29?l3?2900e8750;9l05g=831vn5?;:186>5<7s-?:h798;I4:<>N29l1/9l4>;h75>5<>o213:17b:?a;29?xd?9?0;684?:1y'14b=?>1C:464H43f?!3f281b9;4?::k63?6=3`?36=44i4;94?=h<9k1<75rb93;>5<2290;w);>d;54?M0>02B>=h5+5`82?l312900e8950;9j1=<722c>57>5;n63e?6=3th3=l4?:483>5}#=8n1;:5G68:8L07b3-?j6<5f5783>>o2?3:17d;7:188m0?=831d8=o50;9~f7ce290>6=4?{%72`?103A<246F:1d9'1d<282c>:7>5;h74>5<>i38h0;66sm2df94?3=83:p(8?k:658L3??3A?:i6*:a;73?l312900e8950;9j1=<722c>57>5;n63e?6=3th9ik4?:483>5}#=8n1;:5G68:8L07b3-?j68>4i4494?=n=>0;66g:8;29?l3>2900c9>n:188yg4a93:197>50z&65a<0?2B=555G50g8 0g==91b9;4?::k63?6=3`?36=44i4;94?=h<9k1<75rb63g>5<3290;w);>d;56?M0>02B>=h5+5`8e?l312900e8950;9j1=<722e?t$43g>21<@?337E;>e:&6e?253`?=6=44i4594?=n=10;66g:9;29?j27i3:17pl72383>1<729q/9<@<;n7);n:038m00=831b9:4?::k6;m7>5;|`;01<72=0;6=u+50f930=O>020D8?j;%7b>47>o203:17b:?a;29?xd?<;0;694?:1y'14b=?<1C:464H43f?!3f28;0e8850;9j12<722c>47>5;n63e?6=3th38=4?:583>5}#=8n1;85G68:8L07b3-?j60;66g:8;29?j27i3:17pl73d83>1<729q/9<@<;n7);n:038m00=831b9:4?::k6;m7>5;|`;7f<72=0;6=u+50f930=O>020D8?j;%7b>47>o203:17b:?a;29?xd?;h0;694?:1y'14b=?<1C:464H43f?!3f28;0e8850;9j12<722c>47>5;n63e?6=3th3?54?:583>5}#=8n1;85G68:8L07b3-?j60;66g:8;29?j27i3:17pl73783>1<729q/9<@<;n7);n:038m00=831b9:4?::k6;m7>5;|`;eg<72<0;6=u+50f932=O>020D8?j;%7b>d=n=?0;66g:7;29?l3?2900e8750;9l05g=831vn5on:186>5<7s-?:h798;I4:<>N29l1/9l4;8:k62?6=3`?<6=44i4:94?=n=00;66a;0`83>>{e?l81<7;50;2x 07c2>=0D;77;I72a>"2i3>27d;9:188m01=831b954?::k6=?6=3f>;m7>5;|`4aa<72<0;6=u+50f932=O>020D8?j;%7b>1?>o203:17d;6:188k16f2900qo9i4;291?6=8r.>=i487:J5===O=8o0(8o5489j13<722c>;7>5;h7;>5<l>6=4::183!36l3=<7E868:J65`=#=h0?56g:6;29?l302900e8650;9j1<<722e?t$43g>21<@?337E;>e:&6e?2>3`?=6=44i4594?=n=10;66g:9;29?j27i3:17pl8f683>0<729q/9<@<;n7);n:5;8m00=831b9:4?::k65<55;294~"29m0<;6F9999K14c<,>o2?3:17d;7:188m0?=831d8=o50;9~f2c4290>6=4?{%72`?103A<246F:1d9'1d<312c>:7>5;h74>5<>i38h0;66sm7d694?3=83:p(8?k:658L3??3A?:i6*:a;6:?l312900e8950;9j1=<722c>57>5;n63e?6=3th5}#=8n1;:5G68:8L07b3-?j6974i4494?=n=>0;66g:8;29?l3>2900c9>n:188yg1b>3:197>50z&65a<0?2B=555G50g8 0g=<01b9;4?::k63?6=3`?36=44i4;94?=h<9k1<75rb6g4>5<2290;w);>d;54?M0>02B>=h5+5`87=>o2>3:17d;8:188m0>=831b944?::m74d<722wi4m7?4i4494?=n=>0;66g:8;29?l3>2900c9>n:188yg>6m3:197>50z&65a<0?2B=555G50g8 0g=92c>:7>5;h74>5<>i38h0;66sm7g`94?3=83:p(8?k:658L3??3A?:i6*:a;38m00=831b9:4?::k65<55;294~"29m0<;6F9999K14c<,t$43g>21<@?337E;>e:&6e?7>o203:17d;6:188k16f2900qo6?1;291?6=8r.>=i487:J5===O=8o0(8o51:k62?6=3`?<6=44i4:94?=n=00;66a;0`83>>{e0991<7;50;2x 07c2>=0D;77;I72a>"2i3;0e8850;9j12<722c>47>5;h7:>5<N1111C9o2>3:17d;8:188m0>=831b944?::m74d<722wi4=950;794?6|,<;o6:94H7;;?M36m2.>m7?4i4494?=n=>0;66g:8;29?l3>2900c9>n:188yg>4<3:187>50z&65a<0=2B=555G50g8 0g=981b9;4?::k63?6=3`?36=44o52b>5<54;294~"29m0<96F9999K14c<,>o2?3:17d;7:188k16f2900qoom:187>5<7s-?:h79:;I4:<>N29l1/9l4=b:k62?6=3`?<6=44i4:94?=h<9k1<75rb`a94?2=83:p(8?k:678L3??3A?:i6*:a;0a?l312900e8950;9j1=<722e?=83?1<7>t$43g>21<@?337E;>e:&6e?2c3`?=6=44i4594?=n=10;66g:9;29?j27i3:17pl8e883>0<729q/9<@<;n7);n:5f8m00=831b9:4?::k65<55;294~"29m0<;6F9999K14c<,>o2?3:17d;7:188m0?=831d8=o50;9~f2ce290>6=4?{%72`?103A<246F:1d9'1d<3l2c>:7>5;h74>5<>i38h0;66sm7da94?3=83:p(8?k:658L3??3A?:i6*:a;6g?l312900e8950;9j1=<722c>57>5;n63e?6=3th5}#=8n1;:5G68:8L07b3-?j69j4i4494?=n=>0;66g:8;29?l3>2900c9>n:188yg1bn3:197>50z&65a<0?2B=555G50g8 0g=5<2290;w);>d;54?M0>02B>=h5+5`87`>o2>3:17d;8:188m0>=831b944?::m74d<722wi;k?50;794?6|,<;o6:94H7;;?M36m2.>m7:k;h75>5<>o213:17b:?a;29?xd?800;684?:1y'14b=?>1C:464H43f?!3f281b9;4?::k63?6=3`?36=44i4;94?=h<9k1<75rb6d1>5<2290;w);>d;54?M0>02B>=h5+5`87=>o2>3:17d;8:188m0>=831b944?::m74d<722wi;k=50;194?6|,<;o6:<4H7;;?M36m2.>m7=k;h75>5<;m7>5;|`b`?6=<3:1N1111C9n:188yggb290?6=4?{%72`?123A<246F:1d9'1d<5j2c>:7>5;h74>5<?0D;77;I72a>"2i38i7d;9:188m01=831b954?::m74d<722win=4?:583>5}#=8n1;85G68:8L07b3-?j6?l4i4494?=n=>0;66g:8;29?j27i3:17plm1;290?6=8r.>=i485:J5===O=8o0(8o52c9j13<722c>;7>5;h7;>5<5<3290;w);>d;56?M0>02B>=h5+5`81f>o2>3:17d;8:188m0>=831d8=o50;9~f`>=8391<7>t$43g>24<@?337E;>e:&6e?4f3`?=6=44i4594?=h<9k1<75rbb:94?5=83:p(8?k:608L3??3A?:i6*:a;0b?l312900e8950;9l05g=831vnho50;194?6|,<;o6:<4H7;;?M36m2.>m75<80D;77;I72a>"2i38j7d;9:188m01=831d8=o50;9~f`d=8391<7>t$43g>24<@?337E;>e:&6e?7e3`?=6=44i4594?=h<9k1<75rbb`94?5=83:p(8?k:608L3??3A?:i6*:a;3a?l312900e8950;9l05g=831vnhm50;194?6|,<;o6:<4H7;;?M36m2.>m75<80D;77;I72a>"2i38j7d;9:188m01=831d8=o50;9~f`b=8391<7>t$43g>24<@?337E;>e:&6e?4f3`?=6=44i4594?=h<9k1<75rbbf94?5=83:p(8?k:608L3??3A?:i6*:a;0b?l312900e8950;9l05g=831vnhk50;194?6|,<;o6:<4H7;;?M36m2.>m75<80D;77;I72a>"2i38j7d;9:188m01=831d8=o50;9~f``=8391<7>t$43g>24<@?337E;>e:&6e?4f3`?=6=44i4594?=h<9k1<75rbbd94?5=83:p(8?k:608L3??3A?:i6*:a;0b?l312900e8950;9l05g=831vnk>50;194?6|,<;o6:<4H7;;?M36m2.>m75<80D;77;I72a>"2i38j7d;9:188m01=831d8=o50;9~fd>=83>1<7>t$43g>23<@?337E;>e:&6e?4e3`?=6=44i4594?=n=10;66a;0`83>>{e80D;77;I72a>"2i39o7d;9:188m01=831d8=o50;9~f355290>6=4?{%72`?103A<246F:1d9'1d<5l2c>:7>5;h74>5<>i38h0;66sm53494?3=83:p(8?k:658L3??3A?:i6*:a;6e?l312900e8950;9j1=<722c>57>5;n63e?6=3th>>84?:483>5}#=8n1;:5G68:8L07b3-?j69h4i4494?=n=>0;66g:8;29?l3>2900c9>n:188yg35j3:197>50z&65a<0?2B=555G50g8 0g=92c>:7>5;h74>5<>i38h0;66sm62494?3=83:p(8?k:658L3??3A?:i6*:a;04?l312900e8950;9j1=<722c>57>5;n63e?6=3th:n<4?:583>5}#=8n1;85G68:8L07b3-?j6?l4i4494?=n=>0;66g:8;29?j27i3:17pl>b383>0<729q/9<@<;n7);n:3a8m00=831b9:4?::k65<55;294~"29m0<;6F9999K14c<,>o2?3:17d;7:188m0?=831d8=o50;9~f26d290?6=4?{%72`?123A<246F:1d9'1d<6k2c>:7>5;h74>5<7E868:J65`=#=h09n6g:6;29?l302900e8650;9l05g=831vn5<7s-?:h798;I4:<>N29l1/9l4=c:k62?6=3`?<6=44i4:94?=n=00;66a;0`83>>{e?;91<7;50;2x 07c2>=0D;77;I72a>"2i3;27d;9:188m01=831b954?::k6=?6=3f>;m7>5;|`5bg<72=0;6=u+50f930=O>020D8?j;%7b>4e>o203:17b:?a;29?xd0;o0;694?:1y'14b=?<1C:464H43f?!3f2;?0e8850;9j12<722c>47>5;n63e?6=3th5}#=8n1;:5G68:8L07b3-?j6>5f5783>>o2?3:17d;7:188m0?=831d8=o50;9~f252290>6=4?{%72`?103A<246F:1d9'1d<5>2c>:7>5;h74>5<>i38h0;66sm75394?3=83:p(8?k:658L3??3A?:i6*:a;05?l312900e8950;9j1=<722c>57>5;n63e?6=3th<8=4?:483>5}#=8n1;:5G68:8L07b3-?j6>?4i4494?=n=>0;66g:8;29?l3>2900c9>n:188yg13:3:197>50z&65a<0?2B=555G50g8 0g=;81b9;4?::k63?6=3`?36=44i4;94?=h<9k1<75rbg394?5=83:p(8?k:608L3??3A?:i6*:a;0b?l312900e8950;9l05g=831vni?50;194?6|,<;o6:<4H7;;?M36m2.>m75<80D;77;I72a>"2i38j7d;9:188m01=831d8=o50;9~fa4=8391<7>t$43g>24<@?337E;>e:&6e?4f3`?=6=44i4594?=h<9k1<75rbd;94?5=83:p(8?k:608L3??3A?:i6*:a;0b?l312900e8950;9l05g=831vnn750;194?6|,<;o6:<4H7;;?M36m2.>m75<8;6=4::183!36l3=<7E868:J65`=#=h09?6g:6;29?l302900e8650;9j1<<722e?t$43g>24<@?337E;>e:&6e?7e3`?=6=44i4594?=h<9k1<75rb327>5<4290;w);>d;51?M0>02B>=h5+5`82f>o2>3:17d;8:188k16f2900qo=i485:J5===O=8o0(8o51e9j13<722c>;7>5;h7;>5<N1111C97:187>5<7s-?:h79:;I4:<>N29l1/9l4=b:k62?6=3`?<6=44i4:94?=h<9k1<75rb0a6>5<4290;w);>d;51?M0>02B>=h5+5`82f>o2>3:17d;8:188k16f2900qo<>9;297?6=8r.>=i482:J5===O=8o0(8o51c9j13<722c>;7>5;n63e?6=3th9=l4?:583>5}#=8n1;85G68:8L07b3-?j60;66g:8;29?j27i3:17pl=1c83>0<729q/9<@<;n7);n:0d8m00=831b9:4?::k65<54;294~"29m0<96F9999K14c<,o5f5783>>o2?3:17d;7:188k16f2900qo8?7;291?6=8r.>=i487:J5===O=8o0(8o51`9j13<722c>;7>5;h7;>5<7<729q/9<@<;n7);n:028m00=831d8=o50;9~f=>?290?6=4?{%72`?233A<246F:1d9'1d>{e?0D;77;I72a>"2i3h0e>850;9j72<722c8h7>5;n627?6=3th<=o4?:483>5}#=8n1;:5G68:8L07b3-?j6<<4i4494?=n=>0;66g:8;29?l3>2900c9>n:188yg35:3:1>7>50z&65a<4;2B=555G50g8m03=831d8=o50;9~f6g=8381<7>t$43g>62<@?337E;>e:k61?6=3f>;m7>5;|`06<<72;0;6=u+50f91g=O>020D8?j;h76>5<N1111C95<5290;w);>d;7a?M0>02B>=h5f5483>>i38h0;66sm33d94?4=83:p(8?k:4`8L3??3A?:i6g:5;29?j27i3:17pl<3083>7<729q/9<@<;n7d;::188k16f2900qo=<3;296?6=8r.>=i4:b:J5===O=8o0e8;50;9l05g=831vn>=::181>5<7s-?:h7;m;I4:<>N29l1b984?::m74d<722wi??950;094?6|,<;o68l4H7;;?M36m2c>97>5;n63e?6=3th:=o4?:383>5}#=8n19o5G68:8L07b3`?>6=44o52b>5<52;294~"29m0>n6F9999K14c>{e9:i1<7<50;2x 07c2o2=3:17b:?a;29?xd4n:188yg5283:1>7>50z&65a<2j2B=555G50g8m03=831d8=o50;9~f63529096=4?{%72`?3e3A<246F:1d9j10<722e?t$43g>0d<@?337E;>e:k61?6=3f>;m7>5;|`013<72;0;6=u+50f91g=O>020D8?j;h76>5<N1111C95<5290;w);>d;7a?M0>02B>=h5f5483>>i38h0;66sm35a94?4=83:p(8?k:4`8L3??3A?:i6g:5;29?j27i3:17pl<7283>7<729q/9<@<;n7d;::188k16f2900qo=85;296?6=8r.>=i4:b:J5===O=8o0e8;50;9l05g=831vn<;;:181>5<7s-?:h7;m;I4:<>N29l1b984?::m74d<722wi=8850;094?6|,<;o68l4H7;;?M36m2c>97>5;n63e?6=3th85?4?:383>5}#=8n19o5G68:8L07b3`?>6=44o52b>5<52;294~"29m0>n6F9999K14c>{e9?l1<7<50;2x 07c2o2=3:17b:?a;29?xd51:0;6?4?:1y'14b==k1C:464H43f?l322900c9>n:188yg4?>3:1>7>50z&65a<2j2B=555G50g8m03=831d8=o50;9~f=3?29096=4?{%72`?3e3A<246F:1d9j10<722e?t$43g>0d<@?337E;>e:k61?6=3f>;m7>5;|`;0f<72;0;6=u+50f91g=O>020D8?j;h76>5<N1111C95<5290;w);>d;7a?M0>02B>=h5f5483>>i38h0;66sm55094?4=83:p(8?k:4`8L3??3A?:i6g:5;29?j27i3:17pl:9`83>7<729q/9<@<;n7d;::188k16f2900qo;8c;296?6=8r.>=i4:b:J5===O=8o0e8;50;9l05g=831vn8jk:181>5<7s-?:h7;m;I4:<>N29l1b984?::m74d<722wi9il50;094?6|,<;o68l4H7;;?M36m2c>97>5;n63e?6=3th>h44?:383>5}#=8n19o5G68:8L07b3`?>6=44o52b>5<52;294~"29m0>n6F9999K14c6=4=:183!36l3?i7E868:J65`=n=<0;66a;0`83>>{e=m91<7<50;2x 07c2o2=3:17b:?a;29?xd2l80;6?4?:1y'14b==k1C:464H43f?l322900c9>n:188yg3ek3:1>7>50z&65a<2j2B=555G50g8m03=831d8=o50;9~f0df29096=4?{%72`?3e3A<246F:1d9j10<722e?=8381<7>t$43g>0d<@?337E;>e:k61?6=3f>;m7>5;|`6f3<72;0;6=u+50f91g=O>020D8?j;h76>5<N1111C95<5290;w);>d;7a?M0>02B>=h5f5483>>i38h0;66sm48f94?4=83:p(8?k:4`8L3??3A?:i6g:5;29?j27i3:17pl:1b83>7<729q/9<@<;n7d;::188k16f2900qo??1;296?6=8r.>=i4:b:J5===O=8o0e8;50;9l05g=831vn<>?:181>5<7s-?:h7;m;I4:<>N29l1b984?::m74d<722wijk4?:383>5}#=8n19o5G68:8L07b3`?>6=44o52b>5<>{e01l1<7<50;2x 07c2o2=3:17b:?a;29?xd0>=0;6?4?:1y'14b==k1C:464H43f?l322900c9>n:188yg1683:1>7>50z&65a<2j2B=555G50g8m03=831d8=o50;9~f3`a29096=4?{%72`?3e3A<246F:1d9j10<722e?020D8?j;h76>5<5<5290;w);>d;7a?M0>02B>=h5f5483>>i38h0;66sm24294?4=83:p(8?k:4`8L3??3A?:i6g:5;29?j27i3:17pl=8983>7<729q/9<@<;n7d;::188k16f2900qo<68;296?6=8r.>=i4:b:J5===O=8o0e8;50;9l05g=831vn?m<:181>5<7s-?:h7;m;I4:<>N29l1b984?::m74d<722wi>n<50;094?6|,<;o68l4H7;;?M36m2c>97>5;n63e?6=3th9i?4?:383>5}#=8n19o5G68:8L07b3`?>6=44o52b>5<52;294~"29m0>n6F9999K14c>{e?=21<7:50;2x 07c2>h0D;77;I72a>o2>3:17d;8:188m1352900c9>n:188yg1313:197>50z&65a<0?2B=555G50g8 0g=k2c>:7>5;h74>5<>i38h0;66sm75c94?2=83:p(8?k:678L3??3A?:i6*:a;06?l312900e8950;9j1=<722e?t$43g>21<@?337E;>e:&6e?5>o203:17d;6:188k16f2900qo860;290?6=8r.>=i48b:J5===O=8o0e8850;9j12<722c?9?4?::m74d<722wi:==50;794?6|,<;o6:94H7;;?M36m2.>m7<4i4494?=n=>0;66g:8;29?l3>2900c9>n:188yg07<3:187>50z&65a<0j2B=555G50g8m00=831b9:4?::k717<722e?t$43g>21<@?337E;>e:&6e?2b3`?=6=44i4594?=n=10;66g:9;29?j27i3:17pl93e83>1<729q/9<@<;n7d;9:188m01=831b88<50;9l05g=831vn85<7s-?:h79:;I4:<>N29l1/9l47;h75>5<>i38h0;66sm53g94?3=83:p(8?k:658L3??3A?:i6*:a;30?l312900e8950;9j1=<722c>57>5;n63e?6=3th>>k4?:583>5}#=8n1;o5G68:8L07b3`?=6=44i4594?=n<<81<75`41c94?=zj>>?6=4;:183!36l3=>7E868:J65`=#=h0:96g:6;29?l302900e8650;9l05g=831vn::::180>5<7s-?:h79=;I4:<>N29l1/9l4=4:k62?6=3`?<6=44o52b>5<54;294~"29m0>o3=;0;66a;0`83>>{e?:=1<7;50;2x 07c2>=0D;77;I72a>"2i3;j7d;9:188m01=831b954?::k6=?6=3f>;m7>5;|`47=<72<0;6=u+50f932=O>020D8?j;%7b>7b>o203:17d;6:188k16f2900qo9<9;290?6=8r.>=i48b:J5===O=8o0e8850;9j12<722c?9?4?::m74d<722wi49850;194?6|,<;o6::4H7;;?M36m2.>m7=i;h75>5<>{e0=21<7:50;2x 07c2>90D;77;I72a>"2i39m7d;9:188m01=831d:k4?::m74d<722wi:n:50;694?6|,<;o6:84H7;;?M36m2.>m7h4i4494?=n=>0;66g:8;29?j0a2900qo6n4;292?6=8r.>=i488:J5===O=8o0(8o5519j13<722c>;7>5;h7;>5<>i38h0;66sm8`a94?3=83:p(8?k:6;8L3??3A?:i6*:a;73?l312900e8950;9j1=<722c>57>5;n4e>5<56;294~"29m0<46F9999K14c<,;5f5783>>o2?3:17d;7:188m0?=831d:k4?::m74d<722wi4l=50;694?6|,<;o6:84H7;;?M36m2.>m7:8;h75>5<>i1n3:17pl7a983>1<729q/9<@<;n7);n:378m00=831b9:4?::k6N1111C9o2>3:17d;8:188m0>=831b944?::m5b?6=3th=n:4?:283>5}#=8n1;95G68:8L07b3-?j6>j4i4494?=n=>0;66a9f;29?xd1jl0;6>4?:1y'14b=?=1C:464H43f?!3f2:n0e8850;9j12<722e=j7>5;|`5fc<72:0;6=u+50f931=O>020D8?j;%7b>6b>i1n3:17pl9c183>6<729q/9<@<;n7);n:2f8m00=831b9:4?::m5b?6=3th=o<4?:283>5}#=8n1;95G68:8L07b3-?j6>j4i4494?=n=>0;66a9f;29?xd1k;0;6>4?:1y'14b=?=1C:464H43f?!3f2:n0e8850;9j12<722e=j7>5;|`5g6<72:0;6=u+50f931=O>020D8?j;%7b>6b>i1n3:17pl9b983>6<729q/9<@<;n7);n:2f8m00=831b9:4?::m5b?6=3th=n44?:483>5}#=8n1;45G68:8L07b3-?j69l4i4494?=n=>0;66g:8;29?l3>2900c;h50;9~f3df290>6=4?{%72`?1>3A<246F:1d9'1d<3j2c>:7>5;h74>5<>i1n3:17pl9bc83>0<729q/9<@<;n7);n:5`8m00=831b9:4?::k65;|`;0<<72=0;6=u+50f936=O>020D8?j;%7b>6b>i1n3:17b:?a;29?xd1jm0;6>4?:1y'14b=?=1C:464H43f?!3f2:n0e8850;9j12<722e=j7>5;|`;e`<72?0;6=u+50f93==O>020D8?j;%7b>70>o203:17d;6:188k3`=831d8=o50;9~f27d290=6=4?{%72`?1?3A<246F:1d9'1d<6:2c>:7>5;h74>5<>i1n3:17b:?a;29?xu413:1>vP<9:?0e?323ty8m7>549y>0g1=;?168o65379>0f5=;?168n75379>0fg=;?168nl5379>0fe=;?168nj5379>0fc=;?168nh5379>0g?=;?168oo5379>0gd=;?168om5379>0gb=;?168ok5379>0g`=;?168n>5379>0f7=;?168n<5379>0f2=;?168n;5379>0f0=;?168n95379>0f>=;?169<75379>253=;?169?95379>176=;?169hm5379>257=;?16:<<5379>245=;?16:<:5379>243=;?16:<85379>241=;?16:<65379>24?=;?16:24d=;?16:975379>21g=;?16:9l5379>21e=;?16:9j5379>21c=;?16:9h5379>206=;?16:8?5379>204=;?16:5:5379>2=3=;?16:585379>2=1=;?16:565379>2=?=;?16:5o5379>2=d=;?16:5m5379>2=b=;?16::>5379>227=;?16::<5379>225=;?16:::5379>223=;?16::85379>221=;?16::65379>22?=;?16?l4;0`9~w05=838pR8=4=46905g:181[0634n;|q56?6=:rT=>639b;63e>{t>:0;6?uQ629>2f<38h1v;;50;0xZ33<5?n18=o4}r45>5=4<8:?24g<4m27:44<8:?27c<4027:>l4k44446><50n1?552b280<>;4><08i63<6980a>;6<108463>5980<>;6<008i63>4b80a>;64g80a>;6=908i63>5080a>;6=;08i63<8580a>;40>08i63>6080<>;6?808463>6380a>;6><08i63>6680a>;6>108i63>6880a>;6>h08i63>6c80a>;50008i63=8c80a>;50l08i63=ag80a>;5j<08i63=b080a>;5j=08i63=b680a>;5?m08i63=7g80a>;50808i63=4980a>;5<008i63=4`80a>;5lh08i63=dc80a>;5lj08i63>a080a>;6j?08i63>cc80a>;6m908i63;5580<>;3>m08463;5g80a>;3>=08i63;6480a>;3>?08i63;6680a>;3>108i63;6880a>;3>h08i63;6c80a>;3=<08i63;5780a>;3=>08i63;5980a>;3=008i63;5`80a>;3=k08i63;5b80a>;3=m08i63;5d80a>;3>908i63;6080a>;3>;08i63;6280a>;30?08i63;8`80a>;31908i63:a780a>;2ih08i63:ad80a>;2k?08i63:cc80a>;2>h08463:7e80<>;2>k08i63:6e80a>;2>o08i63:7080a>;2?:08i63:7480a>;2?>08i63:7880a>;20108463:9c80<>;20008i63:8c80a>;20m08i63:8g80a>;21808i63:9280a>;21<08i63:9680a>;2;908463:4280<>;2;808i63:3280a>;2;<08i63:3680a>;2;008i63:3c80a>;2;m08i63:3g80a>;2;2;2=;08i63:5580a>;2=?08i63:5980a>;2=h08i63:5b80a>;1l3?>708l:47893d==<16:l4:5:?5=?3234<368;4=75910=:>?0>9638c;1f?81b2:o014j53d9>2<6==?16:=:5569~w2e=839p1:m541c892c=;116594<8:p3a<72:q6;i4;0`9>3f<3=;165?49e:p3`<72:q6;h4;0`9><5<4027297=7;|q4b?6=;r764}r:2>5<4s42:69>n;<:1>6><50=1?55rs9094?5|51818=o4=9197==:110846s|8283>6}:0:0?;>13937p}74;297~;?<3>;m6375;1;?8?f2:20q~6::1808>22=:j7069:2:89=f<402wx4:4?:3y><2<38h165>4<8:p<=<72;q6454;0`9>=6<1m2wx444?:2y><5<3=;165849e:?;e?27i2wx4o4?:2y>=3<1m2wx4n4?:2y>=2<1m2wx4i4?:2y>4;539>==<1m2wx4h4?:2y><`<38h16494;539>=<<1m2wx4k4?:2y>=d<1m2wx5=4?:2y>=5<38h164;4;539>=g<1m2wx5<4?:2y>=4<38h164:4;539>=f<1m2wx5i4?:2y>=a<38h165k4<8:?a1?5?3ty2i7>53z?:a?27i272h7::2:?a7?0b3ty2j7>53z?:b?27i27j<7=7;<`5>6>16f34k:6>64=c597==z{h;1<7=t=`3905g<5h81?552b980<>{ti;0;6>u2a3874d=:i:08463m9;1;?xuf;3:1?v3n3;63e>;f<39370ln:2:8yvg32908w0o;:52b?8g22:201ol5399~wd3=839p1l;541c89d0=;116nn4<8:pe3<72:q6m;4;0`9>e2<4027ih7=7;|qb3?6=:r7j;7:?a:?a0?5?3tyj47>52z?a0?0b34k369>n;|qb=?6=;r72j7::2:?a1?0b34kj69>n;|qbf?6=;r7j<7::2:?a2?0b34ki69>n;|qbg?6=;r7j=7::2:?a3?0b34kh69>n;|qb`?6=;r7j>7::2:?an;|qba?6=;r7j?7::2:?a=?0b34kn69>n;|qbb?6=;r7j87::2:?ae?0b34km69>n;|qa4?6=;r7j97::2:?af?0b34h;69>n;|qa5?6=;r7j:7::2:?ag?0b34h:69>n;|qa6?6=;r7j;7::2:?a`?0b34h969>n;|qaa?6=;r7ii7:?a:?ab?5?34n>6>64}r`e>5<4s4hm69>n;6><5m<1?55rsb294?5|5j:18=o4=b397==:l>0846s|c083>6}:k80?;c03937p}l2;297~;d:3>;m63l3;1;?8b>2:20q~m<:1808e42=:j70m;:2:89ag=;11vn:50;1x9f2=<9k01n;5399>`g<402wxo84?:2y>g0<38h16o;4<8:?gg?5?3tyh:7>53z?`2?27i27h;7=7;6>16f34n?6>64}ra;>5<4s4hn69;=;3c<5j218=o4}ra:>5<5s4n?6;k4=b;905g13534n>6;k4=bc905g13534n=6;k4=b`905g13534n<6;k4=ba905g13534n36;k4=bf905g13534n26;k4=bg905g13534nj6;k4=bd905g13534ni6;k4=e2905g13534nh6;k4=e3905g13534no6;k4=e0905g16f34nm6>64=g797==z{ml1<7=t=ed905g<5l:1?552f780<>{tm90;6>u2e1874d=:m808463i7;1;?xub93:1?v3j1;63e>;b:39370h7:2:8yvc52908w0k=:52b?8c42:201k75399~w`5=839p1h=541c89`2=;116jl4<8:pa1<72:q6i94;0`9>a0<4027mn7=7;|qf1?6=;r7n97:?a:?f2?5?34lh6>64}rg5>5<4s4o=69>n;6><5on1?55rsd594?4|5l=18=o4=g697==z{l21<7=t=eg9004<5o91:h52e9874d=z{l31<7188<4=gc92`=:mo0?5<4s4;;?7:?a:?22<<3=;16=:956d9~w4632908w0??5;63e>;6>h0?9?5216:92`=z{8:=6=4<{<333?27i27:9=4;539>50c=>l1v<>7:18087713>;m63>508717=:95<4s4;;m7:?a:?24g<4027:><4<8:p55d=839p1<>m:52b?877l39370?=2;1;?xu68j0;6>u211a905g<58:i69;=;<315?0b3ty:55b=<9k01<>j:2:894442:20q~??e;297~;68l0?1?55rs02e>5<4s4;;j7:?a:?24`<3=;16=?=56d9~w4772908w0?>0;63e>;69;08463>2480<>{t98;1<7=t=032>16f34;:<7::2:?261<1m2wx=<<50;1x94752=:j70?>4;1;?875>3937p}>1283>6}:98918=o4=031>13534;9978j;|q251<72:q6=<:541c894712:201<<8:2:8yv76=3:1?v3>14874d=:98>188<4=005>3c53z?253<38h16=<65399>57>=;11v;m63>178717=:9;=1:h5rs03;>5<5s4;:47:?a:?25`<402wx=<750;1x947>2=:j70?>8;666>;6:10=i6s|10c94?5|58:j69;=;<314?0b34;:n7:?a:p54e=839p1<>k:571?875:3d;63e>{t98l1<716f34;:i78j;|q26<<72:q6=?7541c8944f2:201<:?:2:8yv75i3:1?v3>2`874d=:9;i1?55215397==z{88i6=4<{<31f?27i27:>l4;539>516=>l1v<;m63>2e80<>;6<;0846s|13f94?5|588o69>n;<31b?5?34;??7=7;|q26`<72:q6=?k541c8944c2=?970?;2;4f?xu6:o0;6>u213d905g<589:6>64=067>6>53z?275<38h16=?h5440894242?o0q~?<1;297~;6;80?5<4s4;8>7:?a:?274<3=;16=9:56d9~w4542908w0?<3;63e>;6;<08463>4780<>{t9:>1<7=t=017>16f34;8?7::2:?200<1m2wx=>;50;1x94522=:j70?<7;1;?873?3937p}>3783>6}:9:<18=o4=016>13534;?:78j;|q272<72;q6=>9541c8945c2:20q~?<8;297~;6;10?<6;k4}r30=?6=;r7:>44;539>56`=>l16=>o541c8yv74j3:1?v3>2b8717=:9=;1:h5212a905g52z?27`<38h16=>j56d9~w42?2908w0?;8;63e>;6<008463>5880<>{t9=31<7=t=06:>16f34;?n7=7;<36e?5?3ty:8l4?:2y>51g=<9k01<:6:571?872134c83>6}:9=h18=o4=06`>6><58?i6>64}r37g?6=;r7:8n4;0`9>51c=;116=8m5399~w42c2908w0?;d;63e>;6n6=4<{<37a?27i27:8k4<8:?21a<402wx=9h50;1x942a2=:j70?:0;1;?872m3937p}>5183>6}:9<:18=o4=072>6><58?m6>64}r365?6=;r7:9<4;0`9>504=;116=;>5399~w4352909w0?:2;63e>;6=>0846s|14194?5|58>369;=;<3687:?a:p503=839p1<:m:571?872i3{t9?;1<7=t=042>16f34;=>7=7;<346?5?3ty::?4?:2y>534=<9k01<8;:2:894142:20q~?93;297~;6>:0?533=;116=::5399~w4022908w0?95;63e>;6>>08463>7480<>{t9?<1<7=t=045>16f34;=97::2:?231<1m2wx=;950;1x94002=:j70?98;1;?870>3937p}>6983>6}:9?218=o4=04:>6><58=<6>64}r35=?6=;r7::44;0`9>53g=;116=:65399~w40f2908w0?9a;63e>;6>k08463>7880<>{t9?h1<716f34;<<7=7;|q22f<72:q6=;?5440894162?o01<8k:52b?xu6>l0;6>u21769004<58=86;k4=04e>16f3ty:;l4?:2y>52g=<9k01<6<:2:894?02:20q~?8b;297~;6?k0?5<4s4;u216g905g<58=m6>64=0;1>6>53z?23c<38h16=5>5399>5<5=;11v<6?:18087?83>;m63>8080<>;61=0846s|19394?5|582:69>n;<3;6?5?34;297=7;|q2<7<72;q6=5<541c894?12:20q~?73;297~;60:0?5<4s4;387:?a:?2<0<4027:544<8:p5=3=839p1<6::52b?87?>39370?6a;1;?xu60?0;6>u2194905g<582<6>64=0;a>6>53z?2<2<38h16=565399>5;m63>8880<>;61m0846s|19;94?5|582269>n;<3;e?5?34;2i7=7;|q2e2:201<7i:2:8yv7?j3:1?v3>8c874d=:9>h1?55219g97==z{82h6=4<{<34e?22:27:4i49e:?2;m63>a380<>{t9h81<716f34;j?7=7;|q2e6<72;q6=l=541c894g32:20q~?n4;296~;6i=0?6=4={<3b1?27i27:m;4<8:p5d0=838p1a683>7}:9h=18=o4=0c;>6>52z?2e=<38h16=l75399~w4g>2909w0?n9;63e>;6ih0846s|1`c94?4|58kj69>n;<3b5?5?3ty:mo4?:3y>5dd=<9k01ad83>7}:9ho18=o4=0c7>1353ty:mk4?:3y>5d`=<9k01n;|q2f4<72;q6=l95440894d62=:j7p}>b383>7}:9h2188<4=0`1>16f3ty:n>4?:3y>5g5=<9k010?b`83>7}:9kk18=o4=0`a>6>52z?2fg<38h16=om5399~w4dd2909w0?mc;63e>;6jm0846s|1cf94?4|58ho69>n;<3aa?5?3ty:nh4?:3y>5gc=<9k01v3>bg874d=:9k<1?55rs0a3>5<5s4;h<7:?a:?2f0<3=;1v:18187d93>;m63>b68717=z{8i96=4={<3`6?27i27:n54;539~w4e42909w0?l3;63e>;6j00?9?5rs0a7>5<5s4;h87:?a:?2fd<3=;1v>>63>c4874d=z{8i=6=4={<3ag?22:27:o;4;0`9~w4e02909w0?md;666>;6k>0?5<5s4;h47:?a:?2f`<3=;1v;m63>bg8717=z{8ij6=4={<3`e?27i27:on4<8:p5fe=838p1ce83>7}:9jn18=o4=0af>6>52z?2g`<38h16=nh5399~w4ea2909w0?lf;63e>;6l90846s|1e294?4|58n;69>n;<3g5?5?3ty:h<4?:3y>5a7=<9k01v3>d3874d=:9m91?55rs0f0>5<5s4;o?7:?a:?2`1<402wx=i:50;0x94b32=:j70?lb;1;?xu6l<0;6?u21e7905g<58ij69;=;|q2`3<72;q6=i8541c894ed2=?97p}>d683>7}:9m=18=o4=0ag>1353ty:h54?:3y>5a>=<9k01dc83>7}:9mh18=o4=0f2>1353ty:hn4?:3y>5ae=<9k01dg83>7}:9ml18=o4=0g2>6>52z?2a4<38h16=h<5399~w4c52909w0?j2;63e>;6m:0846s|1d194?4|58o869>n;<3f0?5?3ty:i94?:3y>5`2=<9k01v3>e4874d=:9l<1?55rs0g5>5<5s4;n:7:?a:?2a2<402wx=h950;0x94c02=:j70?j8;1;?xu6m10;6?u21d:905g<58o26>64}r3f=?6=:r7:i44;0`9>5`6=;11v;m63>dg8717=z{8oi6=4={<3ff?27i27:i<4;539~w4cd2909w0?jc;63e>;6m;0?9?5rs0gg>5<5s4;nh7:?a:?2a6<3=;1v;m63>e58717=z{8om6=4={<3fb?27i27:i84;539~w4`72909w0?i0;63e>;6m?0?9?5rs0d2>5<5s4;m=7:?a:?2a2<3=;1v;m63>e98717=z{8l86=4={<3e7?27i27:i44;539~w4`32909w0?i4;63e>;6n?0846s|1g494?4|58l=69>n;<3e3?5?3ty:j:4?:3y>5c1=<9k01v3>f9874d=:9o31?55rs0d:>5<5s4;m57:?a:?2bd<402wx=ko50;0x94`f2=:j70?ib;1;?xu6nk0;6?u21g`905g<58lh6>64}r3eg?6=:r7:jn4;0`9>5cb=;11v;m63>fd80<>{t9oo1<716f34;m97=7;|q2bc<72;q6=kh541c894`32=?97p}=0183>7}::9:18=o4=0d5>1353ty9<<4?:3y>657=<9k01==541c894`>2=?97p}=0583>7}:9ok188<4=327>16f3ty9<84?:3y>5cd=<<801?>::52b?xu58?0;6?u21ga9004<5;:=69>n;|q142<72;q6>=9541c894`c2=?97p}=0983>7}:9oo188<4=32;>16f3ty9<44?:3y>65?=<9k01?>m:2:8yv47j3:1>v3=0c874d=::9i1?55rs32`>5<5s48;o7:?a:?14a<402wx>=j50;0x976c2=:j7064}r03b?6=:r79646=;11v???:18184683>;m63=1080<>{t:8;1<716f348:>7=7;|q157<72;q6><<541c897742:20q~<>3;296~;59:0?5;63e>;58k0?9?5rs335>5<5s48::7:?a:?14f<3=;1v??8:181846?3>;m63=0e8717=z{;;36=4={<022909w0;5900?5<5s48:<7::2:?15d<38h1v??m:18184693>>>63=1c874d=z{;;h6=4={<02g?27i279=?4;539~w77c2909w0<>3;666>;59m0?5<5s48:i7:?a:?165<402wx>?>50;0x97472=:j70<=1;1;?xu5:80;6?u2233905g<5;896>64}r016?6=:r79>?4;0`9>675=;11v?<<:181845;3>;m63=2580<>{t:;>1<716f348997=7;|q160<72;q6>?;541c897412:20q~<=6;296~;5:?0?54<8:p67>=838p1?<7:52b?846n3937p}=2883>7}::;318=o4=33f>1353ty9>l4?:3y>67g=<9k01??m541c897452=?97p}=2e83>7}::;n18=o4=300>1353ty9>h4?:3y>67c=<9k01?<;:571?xu5:o0;6?u223d905g<5;8>69;=;|q175<72;q6>>>541c897412=?97p}=3083>7}:::;18=o4=304>1353ty9??4?:3y>664=<9k01?<7:571?xu5;:0;6?u2221905g<5;9>6>64}r001?6=:r79?84;0`9>660=;11v?=9:181844>3>;m63=3680<>{t::=1<716f348847=7;|q17=<72;q6>>6541c8975>2:20q~<<9;296~;5;00?7}:::i18=o4=31g>6>52z?17a<38h16>>:5399~w75b2909w0<;5;:0?9?5rs31e>5<5s488j7:?a:?170<3=;1v?:?:18184383>;m63=378717=z{;>:6=4={<075?27i279?:4;539~w7252909w0<;2;63e>;5;10?9?5rs360>5<5s48??7:?a:?17<<3=;1v?:;:181843<3>;m63=3`8717=z{;>>6=4={<071?27i279?o4;539~w7212909w0<;6;63e>;5;j0?9?5rs364>5<5s48?;7:?a:?17a<3=;1v?:7:18184303>;m63=4880<>{t:=31<716f348?m7=7;|q10d<72;q6>9o541c8972e2:20q~<;c;296~;552z?10a<38h16>9754408yv43m3:1>v3=4d874d=::=k188<4}r07b?6=:r798o4;539>606=<9k0q~<:1;296~;5=80?7}::6>:7>52z?113<38h16>895399~w7302909w0<:7;63e>;5=10846s|24:94?4|5;?369>n;<06=?5?3ty9944?:3y>60?=<9k01?;n:2:8yv42i3:1>v3=5`874d=::5<5s48>n7:?a:?117<402wx>8m50;0x973d2=:j70<:1;666>{t:16f348>>7::2:p60c=838p1?;j:52b?842;3>>>6s|24d94?4|5;?m69>n;<060?22:2wx>;>50;0x97072=:j70<:5;666>{t:?;1<716f348>:7::2:p634=838p1?8=:52b?842?3>>>6s|27194?4|5;<869>n;<06;:50;0x97032=:j70<:9;666>{t:??1<716f348>m7::2:p630=838p1?89:52b?842j3>>>6s|27594?4|5;<<69>n;<05=?5?3ty9:44?:3y>63?=<9k01?8n:2:8yv41i3:1>v3=6`874d=::?h1?55rs34a>5<5s48=n7:?a:?12f<402wx>;m50;0x970d2=:j70<9d;1;?xu5>m0;6?u227f905g<5;64}r05a?6=:r79:h4;0`9>63`=;11v?8i:181841n3>;m63=7180<>{t:>:1<716f348<=7=7;|q134<72;q6>:?541c8970?2:20q~<82;296~;5?;0?52z?136<38h16>;654408yv40<3:1>v3=75874d=::?3188<4}r041?6=:r79;84;0`9>63g=<<80q~<86;296~;5??0?52z?132<38h16>;m54408yv4003:1>v3=79874d=::?n188<4}r04=?6=:r79;44;0`9>63c=<<80q~<8a;296~;5?h0?52z?13g<38h16>:>54408yv40k3:1>v3=7b874d=::>;188<4}r04`?6=:r79;i4;0`9>62c=;11v?9j:181840m3>;m63=7g80<>{t:>l1<716f3483<7=7;|q1<5<72;q6>5>541c897>62:20q~<72;296~;50;0?52z?1<6<38h16>:k54408yv4?<3:1>v3=85874d=::>l188<4}r0;1?6=:r794=4;539>6=0=<9k0q~<77;296~;5080?9?5229:905g52z?1<<<38h16>5o5399~w7>f2909w0<7a;63e>;50k0846s|29`94?4|5;2i69>n;<0;g?5?3ty94n4?:3y>6=e=<9k01?6j:2:8yv4?l3:1>v3=8e874d=::1i188<4}r0;a?6=:r794h4;0`9>6=`=;11v?6i:18184?n3>;m63=9080<>{t:0:1<716f3483j7::2:p6<4=838p1?66:571?84>;3>;m6s|28694?4|5;3?69>n;<0;e?22:2wx>4;50;0x97?22=:j70<7b;666>{t:0<1<716f3483i7::2:p6<1=838p1?7>:571?84>03>;m6s|28;94?4|5;3269>n;<0:f?5?3ty95o4?:3y>6k3:1>v3=9b874d=::0n1?55rs3;g>5<5s482h7:?a:?1=`<402wx>4k50;0x97?b2=:j70<6f;1;?xu51o0;6?u228d905g<5;k;6>64}r0b4?6=:r79m=4;0`9>6d7=;11v?o>:18184f93>;m63=a380<>{t:h81<716f348j?7=7;|q1e6<72;q6>l=541c897?f2:20q~52z?1e0<38h16>4o54408yv4f>3:1>v3=a7874d=::0h188<4}r0b3?6=:r79m:4;0`9>652z?1e<<38h16>4k54408yv4fi3:1>v3=a`874d=::0l188<4}r0bf?6=:r79mo4;0`9>6d6=<<80q~52z?1ea<38h16>l<54408yv4fm3:1>v3=ad874d=::h9188<4}r0bb?6=:r79mk4;0`9>6g4=;11v?l?:18184e93>;m63=b580<>{t:k81<716f348i97=7;|q1f6<72;q6>o:541c897d02:20q~>>6s|2c`94?4|5;hi69>n;<0a5?22:2wx>om50;0x97dd2=:j70{t:kn1<716f348i87::2:p6gc=838p1?lj:52b?84e=3>>>6s|2cd94?4|5;hm69>n;<0a3?22:2wx>n>50;0x97d?2=?970{t:j;1<7135348h>7:?a:p6f2=838p1?m;:52b?84d>3937p}=c783>7}::j<18=o4=3a4>6>52z?1g2<38h16>n65399~w7e?2909w0;5k00846s|2b;94?4|5;i269>n;<0`e?5?3ty9ol4?:3y>6fg=<9k01?mm:2:8yv4dj3:1>v3=cc874d=::ji1?55rs3a`>5<5s48ho7:?a:?1ga<402wx>nj50;0x97ec2=:j706>64}r0`b?6=:r79ok4;0`9>6f2=<<80q~52z?1`4<38h16>n854408yv4c:3:1>v3=d3874d=::j=188<4}r0g7?6=:r79h>4;0`9>6f>=<<80q~52z?1`0<38h16>no54408yv4c>3:1>v3=d7874d=::jh188<4}r0g3?6=:r79h:4;0`9>6fe=<<80q~52z?1`<<38h16>nk54408yv4ci3:1>v3=d`874d=::mh1?55rs3fa>5<5s48on7:?a:?1`f<402wx>im50;0x97bd2=:j70ih541c897be2=?97p}=e183>7}::l:18=o4=3f`>1353ty9i<4?:3y>6ab=<<801?k=:52b?xu5m:0;6?u22d1905g<5;o?6>64}r0f0?6=:r79i94;0`9>6`3=;11v?k::18184b=3>;m63=e780<>{t:l<1<716f348n;7=7;|q1a2<72;q6>h9541c897c?2:20q~6z?1a<<38h1644h5569><64==1164>>5599><7c==1164?m5599><7g==1164?65599><72==>164?<5569><12==>1649<5569><16==>164>k5569><6e==>164>o5569><6>==>164>85569><62==>164?>5569~w7cf2909w0;5mh0?5<5s48n:7::2:?1ag<38h1v?kk:18184b?3>>>63=ee874d=z{;om6=4={<0f;5n80?5<4s48mn7:?a:?1bf<40278=?4<8:p6ce=839p1?hl:52b?84al39370=>3;1;?xu5nm0;6>u22gf905g<5;ln6>64=237>6>53z?1b`<38h16>kh5399>743=;11v?hi:18084an3>;m63<0180<>;49?0846s|31294?5|5::;69>n;<135?5?349:;7=7;|q044<72:q6?=?541c896652:201>?7:2:8yv57:3:1?v3<03874d=:;991?55230;97==z{::86=4<{<137?27i278<94<8:?05d<402wx?=:50;0x96632=:j70=>1;1;?xu48<0;6>u2317905g<5;li69;=;<124?0b3ty8<;4?:3y>750=<9k01>?>:7g8yv57?3:1?v3<06874d=::oi188<4=231>3c53z?04=<38h16>kj5440896742?o0q~=?9;297~;4800?6c`=<<801>?::7g8yv57j3:1?v3<0c874d=:;9:188<4=235>3c53z?04f<38h16?=?5440896702?o0q~=?d;297~;48m0?755=<<801>?6:7g8yv57n3:1?v3<0g874d=:;9>188<4=23b>3c53z?05g<38h16?76>=;11v>?l:180856k3>;m63<1c8717=:;:=1:h5rs23g>5<4s49:h7:?a:?05`<40278?44<8:p74c=839p1>?j:52b?855839370=u230d905g<5:;n69;=;<10=?0b3ty8>=4?:2y>776=<9k01><>:2:8965e2:20q~==1;297~;4:80?5<4s499>7:?a:?066<40278?i4<8:p775=839p1><<:52b?855<39370=u2336905g<5:8>6>64=21e>6>52z?060<38h16?>85399~w6412909w0=<6;4f?855?3>;m6s|33:94?5|5:;o69;=;<10{t;;i1<7=t=202>1353498n78j;<11`?27i2wx??k50;1x96452=?970=;m6s|32294?5|5:8869;=;<10`?0b3498=7:?a:p764=839p1><;:571?854m3{t;:>1<7=t=206>1353498j78j;<101?27i2wx?9>50;1x96272=:j70=;2;1;?852l3937p}<4083>6}:;=;18=o4=263>135349>o78j;|q007<72:q6?9<541c896242:201>;j:2:8yv53;3:1?v3<42874d=:;=?1?55234d97==z{:>?6=4<{<170?27i2788>4;539>70c=>l1v>:::180853=3>;m63<4780<>;4>90846s|35494?5|5:>=69>n;<173?5?349==7=7;|q002<72:q6?99541c8962?2:201>8=:2:8yv5303:1?v3<49874d=:;=31?55237197==z{:>26=4<{<17=?27i2788l4<8:?021<402wx?9o50;0x962f2=:j70=:b;1;?xu470b=>l16?9k541c8yv53n3:1?v3<448717=:;=7>53z?003<3=;16?;>56d9>704=<9k0q~=:3;297~;4<>0?9?5237392`=:;<>18=o4}r161?6=;r78854;539>734=>l16?88541c8yv52?3:1?v3<488717=:;?91:h5234:905g57>53z?00d<3=;16?;:56d9>70g=<9k0q~=95;297~;4><0?i1?55rs245>5<4s49=:7:?a:?020<3=;16?:l56d9~w6002908w0=97;63e>;4>108463<7e80<>{t;?21<7=t=24;>16f349=m7=7;<14a?5?3ty8:44?:2y>73?=<9k01>87:571?850l36}:;?k18=o4=24a>6><5:=m6>64}r15f?6=;r78:o4;0`9>73b=;116?5>5399~w60d2908w0=9c;63e>;4>k0?9?5236d92`=z{:6}:;?l18=o4=253>6><5:286>64}r144?6=:r78;=4;0`9>72g=;11v>9>:181850i3{t;>81<7=t=244>135349;m6s|36494?5|5:8j:571?85?93{t;>21<7=t=24e>1353493>78j;<14;m6s|39694?5|5:2?69>n;<1;2?5?3492n7=7;|q0<0<72:q6?5;541c896>32=?970=6a;4f?xu40?0;6>u2394905g<5:2<6>64=2;`>6>53z?0<2<38h16?575399>767:18085?03>;m63<868717=:;0i1:h5rs2::>5<4s49357:?a:?06n:52b?85?k39370=6f;1;?xu40k0;6>u239`905g<5:2j69;=;<1:a?0b3ty84n4?:2y>7=e=<9k01>6k:2:896g72:20q~=7d;297~;40m0?5<4s493i7:?a:?06i:52b?85>13937p}<9183>7}:;031:h52382905g53z?0<3<3=;16?4l56d9>7<4=<9k0q~=63;297~;4000?9?5238f92`=:;0>18=o4}r1:1?6=;r784n4;539>7<`=>l16?4;541c8yv5>>3:1?v3<8e8717=:;h:1:h52384905g53z?0<`<3=;16?l?56d9>7<1=<9k0q~=68;297~;40o0?9?523`092`=:;0218=o4}r1b7?6=;r78m>4;0`9>7d2=;116?oo5399~w6g32908w0=n4;63e>;4i<08463{t;h?1<7=t=2c6>16f349j:7=7;<1ag?5?3ty8m;4?:2y>7d0=<9k01>o8:2:896dc2:20q~=n7;297~;4i>0?5<4s49j47:?a:?0e<<40278nk4<8:p7d?=839p1>o6:52b?85fi39370=l0;1;?xu4ih0;6>u23`c905g<5:ki6>64=2a2>6>53z?0eg<38h16?lm5399>7f4=;11v>ol:18185fk3>;m63{t;hn1<7=t=2cg>16f349j?7::2:?0f=<1m2wx?lk50;0x96gb2=:j70=m9;4f?xu4io0;6>u23`d905g<5:k?69;=;<1ae?0b3ty8n=4?:2y>7g6=<9k01>o::571?85ej36}:;k;18=o4=2c5>135349io78j;|q0f7<72:q6?o<541c896g02=?970=md;4f?xu4j:0;6>u23c1905g<5:k369;=;<1aa?0b3ty8n94?:2y>7g2=<9k01>o6:571?85en36}:;k?18=o4=2cb>135349h<78j;|q0f3<72:q6?o8541c896ge2=?970=l1;4f?xu4j>0;6>u23c5905g<5:kh69;=;<1`6?0b3ty8o>4?:66x93?f2=:h70=>b;1;?854?39370=>d;1f?855839n70==1;1f?855:39n70==3;1f?855<39n70==5;1f?877i39n70??d;1f?875139n70?=c;1f?853839370=:c;1;?853:39n70=;5;1f?853>39n70=;7;1f?853039n70=;9;1f?853i39n7039n70i39370=76;1f?85?139n70=7a;1f?85?k39n70=7d;1f?85?m39n70=7f;1f?871939n70?94;1f?84?139370<7a;1f?84?k39n70<7f;1f?84fn39370e;1;?846n39n70?kf;1;?844;39370<<4;1f?822<39n70:76;1;?82?139n70:7c;1f?82?l39n70:61;1f?82>:39n70:78;1f?807=39o70;=7;1g?835839o708?1;1g?83f>39370;md;1;?83f039n70;n9;1f?83fk39n70;nd;1f?83e839n70;l6;1;?83cm39370;l8;1f?83d139n70;la;1f?83dl39n70;le;1f?83dn39n70;9a;1f?83?039n70;<0;1f?833l39n708li6884}r1`1?6=:r73cb==?1v>m9:18181bk3>;m638fg862>{t;j=1<716f342;=7;9;|q0g=<72;q6;hh541c89=642<<0q~=l9;296~;0n90?u22g5905g<5:=:6874=605>0?52z?4b7<38h164=75579~w6eb2909w09i2;75?80el37}:?o918=o4=92a>0?52z?663<38h169?;5589~w6b62908w0;5n00>563<7886=>{t;m81<70?<5<8m69>n;|q0`6<72;q6;>h541c8925b2<30q~=k4;296~;0;<0>563838874d=z{:n>6=4={<575?27i27<8=4:6:p7a0=838p1::=:45892212=:j7p}7}:?=318=o4=66;>0052z?40d<38h16;965569~w6b>2909w06;8;4e?8>3?3?37p}7}:0h>1:k528`5913=z{:ni6=4={<:b`?0a34=9>7;7;|q0`f<72;q649756g9>3`>==11v>jk:1818>fm3u22g1905g<5:3;6874=600>0?52z?45f<1n27=m;4:8:p7`6=839p1?h9:52b?84a=3?270=68;7:?xu4m80;6?u22da905g<5?h26884}r1f6?6=:r79ih4;0`9>2gg==?1v>k<:181813?3>;m63835862>{t;l>1<716f34=>o1v>k9:18184a:3>;m639bb862>{t;l=1<7:t=9c:>16f34=:57;8;<52f?3034=:o7;8;|q0a=<72:q6;h<541c892`>2<<01<6l:448yv5b13:1?v38ee874d=::lk19;5281f913=z{:oj6=4={<71e?27i27>>44:9:p7`d=839p1:h;:52b?8>7n3?=706>0;75?xu4mj0;6?u27g7905g<51;96884}r1f`?6=:r7<42==?1v>kj:18181a?3>;m63717862>{t;ll1<701<51k?69>n;|q0b5<72;q6;k6541c89=7?2<<0q~=i1;296~;0m:0?7}:?l<18=o4=3ge>0052z?572<38h16:>;5589~w6`12909w09j7;63e>;5n80>:6s|3g594?4|5>;o69>n;<:b=?3>3ty8j54?:3y>2909w06nb;75?8>fi3>;m6s|3gc94?4|5>o96884=7`4>3`52z?4aa<2>27=nh49f:p7ce=838p1:h;:44893da2?l0q~=id;296~;0n<0>:639c185b>{t;oo1<70?<51>=6;h4}r1eb?6=:r750;0x92`02<<01;m=:7d8yv2793:1>v38f9862>;1k:0=j6s|41094?4|5>o86884=7`;>3`;?7>52z?4a1<2>27=n449f:p052=838p1:k::44893df2?l0q~:?5;296~;0m?0>:639bc85b>{t<9<1<700<5?hh6;h4}r633?6=:r7<4e==?1v9>7:18181b13>;m6371d862>{t<8;1<7;m6s|40794?4|V=;>70:>e;63e>{t<8<1<7;m6s|40594?4|V=;<70:=0;63e>{t<821<7;m6s|40;94?4|V=;270:=2;63e>{t<8k1<7;m6s|40`94?4|V=;i70:=4;63e>{t<8n1<7;m6s|43494?4|5?n?6>j4=80905g9;7>52z?5ad<4l27i?7:?a:p07>=838p1:;9:2f89a5=<9k0q~:=9;296~;?0808h63i3;63e>{t<;k1<76b<50918=o4}r61f?6=:r7=in4v378280`>;a<3>;m6s|43g94?4|5?n>6>j4=86905g9j7>52z?5ag<4l27i97:?a:p066=838p1:;8:2f89a3=<9k0q~:<1;296~;?0;08h63i5;63e>{t<:81<76b<50?18=o4}r607?6=:r7=ii4:50;0x923>2:n01i8541c8yv24=3:1>v378580`>;a>3>;m6s|42494?4|5?n36>j4=84905g8;7>52z?5a`<4l27i;7:?a:p06>=838p1:;n:2f89a1=<9k0q~:<9;296~;?0<08h63i7;63e>{t<:k1<76b<50=18=o4}r60f?6=:r7=ik4m50;0x923e2:n01i6541c8yv24l3:1>v378780`>;a03>;m6s|42g94?4|5?nj6>j4=8:905g8j7>52z?5b5<4l27i57:?a:p016=839p1:;l:2f89a?=<9k01:;k:2f8yv2393:1?v378680`>;a13>;m6378980`>{t<=81<76b<50318=o4}r677?6=:r7=j<4v378880`>;ai3>;m6s|45494?4|5?nh6>j4=8c905g?;7>52z?5b7<4l27in7:?a:p01>=838p1:;i:2f89ad=<9k0q~:;9;296~;?0h08h63ib;63e>{t<=k1<76b<50h18=o4}r67f?6=:r7=j>4v378c80`>;ak3>;m6s|45g94?4|5?nn6>j4=8a905g?j7>52z?5b1<4l27ih7:?a:p006=838p1:8>:2f89ab=<9k0q~::1;296~;?0j08h63id;63e>{t<<>1<7=t=577>16f34>>j7=7;<64003=<9k019;9:2:8910a2:20q~::6;297~;3=?0?:1?55rs574>5<4s4>>;7:?a:?71=<4027?;<4<8:p00>=839p19;7:52b?822139370:82;1;?xu3=00;6>u244;905g<5=?j6>64=550>6>>m7>53z?71d<38h1688l5399>022=;11v9;m:180822j3>;m63;5b80<>;3?<0846s|44a94?5|5=?h69>n;<66`?5?34><:7=7;|q71a<72:q688j541c8913b2:201998:2:8yv22m3:1?v3;5d874d=:50;1x91072=:j70:91;1;?820i3937p};6083>6}:6><5==i6>64}r656?6=;r7?:?4;0`9>035=;1168:m5399~w1042909w0:93;63e>;3?m0846s|47694?5|5=n;<651?5?34>3:1?v3;67874d=:6}:6><5=2?6>64}r65e?6=;r7?:l4;0`9>03d=;11685;5399~w10e2908w0:9b;63e>;3=<08463;6d80<>{t13534>=h78j;<65g?27i2wx85850;0x91>12=:j70:79;1;?xu30>0;6?u2495905g<5=2=69;=;|q7<<<72;q6857541c891>f2:20q~:7a;296~;30h0?d2909w0:7c;63e>;30m0846s|49f94?4|5=2o69>n;<6;a?5?3ty?4h4?:3y>0=c=<9k0196i:2:8yv2?n3:1>v3;8g874d=:<0:1?55rs5;3>5<5s4>2<7:?a:?7=4<402wx84?50;0x91?62=:j70:62;1;?xu31;0;6?u2480905g<5=236>64}r6:7?6=:r7?5>4;0`9>0=>=<<80q~:64;296~;31=0?297>52z?7=0<38h1685m54408yv2>>3:1>v3;97874d=:<1n188<4}r6:3?6=:r7?5:4;0`9>0=c=<<80q~:68;296~;3110?257>52z?7=<<38h1684>54408yv2>i3:1>v3;9`874d=:<0;188<4}r6:f?6=:r7?5o4;0`9>0<4=<<80q~:6c;295g}:17334>h?7:>4:?7g<<39=168no5406891ee2=;?70:lc;620>;3km0?=9524bg9042<5=im69?;;<6a=?26<27?nl4;159>0gd=<8>019ll:537?82el3>:863;bd8751=:17334>h=7:>4:?7g7<39=168n:5406891e22=;?70:l6;620>;3k>0?=9524b:9042<5<;269?;;<6:`?27i2wx84k50;0x91d02=;870:9c;75?xu3i00;6>u24c:9045<5=ln6884=5:4>002j7>53z?7f<<39:168485569>0`g==?1v9o?:18782ei3>:?63;96862>;30l08i63;eb862>{t17434>247;9;<6;b?5b34>ni7;9;|q7e7<72:q68om5401891?>2<<019h?:448yv2f;3:1?v3;be8756=:<0319:524g0913=z{=k?6=4<{<6aa?26;27?5l4:6:?7b1<2>2wx8l;50;1x91da2=;870:6a;74?82a>3?=7p};a783>6}:0><5=l36884}r6b3?6=;r7?o<4;129>0;31k0>:63;fb862>{t17434>287;9;<73e?313ty?ml4?:2y>0f2=<890197m:45890672<<0q~:nb;297~;3k<0?=>5248`91==:=9819;5rs5c`>5<4s4>h:7:>3:?7=g<2127><94:6:p0db=839p19m8:530?82>;3?=70;?6;75?xu3il0;6>u24b:9045<5=386894=42;>00i<7>53z?7g<<39:1684:5569>15e==?1v9l>:18082di3>:?63:0d862>;30k0>:6s|4c094?5|5=ii69?<;<6:1?3134?:<7;9;|q7f6<72:q68nm5401891?22<=018?=:448yv2e<3:1?v3;ce8756=:<0?19552506913=z{=h>6=4<{<6`a?26;27?584:9:?653<2>2wx8o850;1x91ea2=;870:66;75?83603?=7p};d183>7}:o=7>52z?7f<<4l27?:h4;0`9~w1b52909w0:ma;1g?821n3>;m6s|4e194?4|5=hi6>j4=553>16f3ty?h94?:3y>0ge=;m168:?541c8yv2c=3:1>v3;be80`>;3?;0?5<5s4>ii7=k;<647?27i2wx8i950;0x91da2:n0199;:52b?xu3l10;6?u24b297a=:<>?18=o4}r6g=?6=:r7?o<4{t6b<5==369>n;|q7`f<72;q68n:53e9>02?=<9k0q~:kd;296~;3k<08h63;7`874d=z{=nn6=4={<6`2?5c34>7}:n=7>52z?7g6<4l27?;h4;0`9~w1c52909w0:l9;1g?820n3>;m6s|4d194?4|5=ij6>j4=5:3>16f3ty?i94?:3y>0fd=;m1685?541c8yv2b=3:1>v3;cb80`>;30;0?5<5s4>hh7=k;<6;7?27i2wx8h950;0x91eb2:n0196;:52b?xu3m10;6?u24bd97a=:<1?18=o4}r6f=?6=;r7?984;539>03c=>l168ho541c8yv2bj3:1?v3;578717=:nh7>53z?712<3=;168:>56d9>0`c=<9k0q~:jf;297~;3=10?9?5246392`=:024=>l168k<541c8yv2a;3:1?v3;5`8717=:<>91:h524g6905gm97>53z?71g<3=;168::56d9>0c0=<9k0q~:i7;297~;3=j0?9?5246792`=:020=>l168ko541c8yv2aj3:1?v3;5d8717=:<>=1:h524ga905gmh7>53z?71c<3=;168:656d9>0cc=<9k0q~:if;297~;3>90?9?5246;92`=:=9:18=o4}r735?6=;r7?:<4;539>02g=>l169=<541c8yv37;3:1?v3;638717=:<>h1:h52516905g53z?726<3=;168:m56d9>150=<9k0q~;?7;296~;3?m0=i63:09874d=z{<:26=4<{<650?22:27?;h49e:?64d<38h1v8>m:180821=3>>>63;7g85a>;28j0?5<4s4>=:7::2:?7<5<1m27>;3080=i63:11874d=z{<;:6=4<{<65>>63;8285a>;29=0?5<4s4>=m7::2:?7<1<1m27>=;4;0`9~w0702908w0:9b;666>;30<0=i63:19874d=z{<;26=4<{<72=?26;27?=?4:5:?65f<2=2wx9;2810>;63:07863>;28=0>;63:03863>;2890>;63;fb863>;3nh0>;63;f9863>;3n?0>;63;f5863>;3n;0>;63;f1863>;3ml0>;63;eb863>;3mh0>;63:19863>;29?0>;63:15863>;29;0>;63:11863>;28l0>;63:0b863>;28h0>;63;fd863>;3>j0>;6s|50`94?4|5<;26>j4=43`>16f3ty>>=4?:5`x93g52:<01;o<:24893g32:<01;oi:24893d72:<01;l>:24893d52:<01;h9:2489=?c2:<01:69:24892>02:<01:7>:24892?52:<01:7<:24892?32:<01:7::24892?12:<01:78:24892??2:<01:67:24892>>2:<01:6n:24892>e2:<01:6l:24892>c2:<01:6j:24892>a2:<01:7?:24893b32:<01;j::24893b02:<01;j7:24893b>2:<01;jn:24893be2:<01;jl:24893bc2:<01;jj:24893b12:<01;kn:24893ce2:<01;kk:24893cb2:<01;ki:24893`72:<01;h>:24893`52:<01;h<:24893`32:<01;kl:24892312:<01:;8:248923>2:<01:;n:248923e2:<01:;l:248923b2:<01:;i:24892072:<01:8>:248923?2:<0156>:2489=>52:<0156;:2489=>22:<01569:2489=>02:<01566:2489=>f2:<0156m:2489=>d2:<0156<:2489=>?2:<01:;k:24890452=:j7p}:2083>7}:=;:18<=4=401>0352z?665<39=169?;541c8yv35<3:1>v3:25874d=:=;?19:5rs404>5<5s4?9;7:>3:?60?323ty>>54?:3y>171=<8>018>h4;0`9>17`==?1v8=?:18083483>;m63:3080<>;2<=0846s|52394?5|5<9:69>n;<707?5?34??97=7;|q677<72:q69><541c890562=?970;;4;4f?xu2;:0;6>u2521905g<5<9>6>64=465>6>53z?671<38h169>=5440890222?o0q~;<5;297~;2;<0?5<4s4?8:7:?a:?670<3=;1699856d9~w0502908w0;<7;63e>;2;008463:4980<>{t=:21<7=t=41;>16f34?8;7::2:?602<1m2wx9>750;1x905>2=:j70;6}:=:k18=o4=41:>13534??478j;|q67g<72:q69>l541c8905c2:2018:n:2:8yv34k3:1?v3:3b874d=:=:h188<4=46:>3c53z?67a<38h169>h5399>11d=;11v8=j:180834m3>;m63:3e8717=:==k1:h5rs41e>5<5s4?8j7:?a:?60f<402wx99>50;1x90272=:j70;;27:?a:p11b=839p18:k:52b?833m39370;91;1;?xu2u255g905g<564=441>6>53z?60c<38h1699k5440890062?o0q~;:0;297~;2=90?5<4s4?>=7:?a:?615<3=;169;<56d9~w0352908w0;:2;63e>;2==08463:6580<>{t=<91<7=t=470>16f34?>>7::2:?626<1m2wx98:50;1x90332=:j70;:6;1;?831=3937p}:5483>6}:=13534?=878j;|q613<72:q6988541c8903?2:201889:2:8yv32?3:1?v3:56874d=:=<<188<4=446>3c47>53z?61=<38h1698o5399>131=;11v8;6:18083213>;m63:598717=:=?<1:h5rs47b>5<4s4?>m7:?a:?61f<4027>:54<8:p10d=839p18;m:52b?832i3>>>63:6685a>{t=16f34?=57=7;|q61a<72:q698j541c8903d2=?970;98;4f?xu2=l0;6>u255f9004<5<<;6;k4=47e>16f3ty>:l4?:2y>13g=<9k0188m:2:8901b2:20q~;9b;297~;2>k0?l1?55rs44`>5<4s4?=o7:?a:?62g<3=;169:k56d9~w00c2908w0;9d;63e>;2>o08463:8180<>{t=?o1<7=t=44f>16f34?=h7::2:?63c<1m2wx9;h50;1x900a2=:j70;81;1;?83?93937p}:7183>6}:=>:18=o4=44e>13534?3<78j;|q634<72:q69:?541c890142:20186=:2:8yv30:3:1?v3:73874d=:=>;188<4=4:2>3c53z?636<38h169:;5399>1=5=;11v89;:180830<3>;m63:728717=:=181:h5rs456>5<4s4?<97:?a:?632<4027>494<8:p120=839p1899:52b?830=3>>>63:8285a>{t=>=1<7=t=454>16f34?<57=7;<7;1?5?3ty>;54?:2y>12>=<9k01898:571?83?<37}:=>318=o4=4:5>6>53z?63d<38h169:75440890>22?o0q~;8b;297~;2>h0?9?5256f92`=:=>i18=o4}r7;3?6=:r7>4:4;0`9>1=0=>l1v867:18083?03>;m63:8880<>;21j0846s|59;94?5|5<2269>n;<7;f?5?34?2h7=7;|q6>2=?970;6c;4f?xu20k0;6>u259`905g<5<2o6>64=4;f>6>53z?65<4s4?3i7:?a:?6a2908w0;7f;63e>;21808463:a180<>{t=0:1<7=t=4;3>16f34?3j7::2:?6=c<1m2wx94?50;1x90?62=:j70;63;1;?83f93937p}:9383>6}:=0818=o4=4;2>13534?j<78j;|q6=6<72:q694=541c890?22:2018o=:2:8yv3><3:1?v3:95874d=:=09188<4=4c2>3c53z?6=0<38h169495399>1d5=;11v879:18083>>3>;m63:948717=:=h81:h5rs4;4>5<5s4?2;7:?a:?6e1<402wx94650;1x90??2=:j70;67;666>;2i:0=i6s|58;94?5|5<2369;=;<7:f?0b34?2m7:?a:p1d3=838p18o::52b?83f<36}:=h<18=o4=4c;>6><564}r7b3?6=;r7>m:4;0`9>1d0=<<8018lk:7g8yv3f03:1?v3:a9874d=:=h31?5525cd97==z{ml4<8:?6g5<402wx9lo50;1x90gf2=:j70;nc;1;?83d93937p}:ac83>6}:=hh18=o4=4cb>13534?h<78j;|q6ef<72:q69lm541c890gc2:2018m=:2:8yv3fl3:1?v3:ae874d=:=ho1?5525b197==z{n=4<8:?6g1<402wx9lh50;1x90ga2=:j70;ne;666>;2k:0=i6s|5c294?4|5n;<7`1?5?3ty>n<4?:2y>1d>=<<8018lj:7g890d52=:j7p}:b283>6}:=h3188<4=4`e>3c<5n;|q6f0<72:q69lm5440890e62?o018l9:52b?xu2j>0;6>u25`f9004<516f3ty>n44?:2y>1g6=<<8018m;:7g890df2=:j7p}:bc83>7}:=j?1:h525ca905g53z?6g3<38h169n65399>1a`=;11v8m8:18083d?3>;m63:c78717=:=mo1:h5rs4a;>5<4s4?h47:?a:?6g<<4027>i=4<8:p1f?=839p18m6:52b?83di39370;j1;1;?xu2kh0;6>u25bc905g<564=4g1>6>53z?6gg<38h169nj5399>1`5=;11v8ml:18083dk3>;m63:cc8717=:=l81:h5rs4ag>5<4s4?hh7:?a:?6g`<4027>i94<8:p1fc=839p18mj:52b?83dn39370;j5;1;?xu2ko0;6?u25bd905g<564}r7g4?6=;r7>o54;539>1a`=>l169i?541c8yv3c:3:1?v3:c88717=:=l:1:h525e1905g53z?6gd<3=;169h?56d9>1a3=<9k0q~;k6;297~;2km0?9?525d192`=:=m=18=o4}r7goh4;539>1`2=>l169i7541c8yv3ci3:1?v3:cg8717=:=l?1:h525e`905g52z?6a3<1m27>hi4;0`9~w0c0290?w0862;74?83513>;m63:2e86<>;2:l0>;6s|5d:94?5|5?396884=710>16f34<8:7;8;|q6a<<72=q6:>;541c893562<=01;6i:45893642<<0q~;ja;297~;1;90?=4:5:?764<2=27?>?4:5:?766<2=27?>94:5:?6af<39:168?;5549~w0cc2909w0874;1g?83>j3>;m6s|5dg94?4|5?=;6>j4=45g>16f3ty>ik4?:3y>2=3=;m1694m541c8yv3a83:1>v397080`>;2?l0?5<5s4<3:7=k;<7:`?27i2wx9k<50;0x93152:n0189i:52b?xu2n:0;6?u269597a=:=0o18=o4}r7e0?6=:r7=;>4{t=o<1<76b<5<2:69>n;|q6b2<72;q6:5753e9>1d6=<9k0q~;i8;296~;1?<08h63:83874d=z{42=:j7p}:fc83>7}:>1h1?i525`0905g52z?532<4l27>494;0`9~w0`c2909w087c;1g?83f;3>;m6s|5gg94?4|5?=36>j4=4:6>16f3ty>jk4?:3y>2=b=;m169l:541c8yv0783:1>v397880`>;20?0?5<5s4<;=7:>3:?5=0<2=2wx:=<50;0x93662=;?708?4;63e>{t>991<716f34<;87;9;|q540<72;q6;?o5509>253=<890q~8?6;297~;18<0?=9525da9042<5?:<69>n;|q54=<72?q6=i;5579>5fg=;l16>?75569>244=<8901:=8:4:8925?2<=0q~8?9;292~;6l?0>:63>cb80a>;5:h0>;639128756=:?:=19:5272:91<=z{?:j6=48{<3g3?3134;hh7=j;<01f?3034<:87:>3:?47d<21273}:9m319;521bd97`=::;n19:526049045<5>9j6894=61:>1353ty=5ag==?16=i>53d9>67c==>16:<954018925f2<<01:=::448yv07m3:1:v3>dc862>;6l808i63=2g863>;1910?=>5272a912=:?:n1945rs72e>5<2s4;oo7;9;<3g6?5b3488<7;8;<42=?26;27<8=4:8:p246=83?p1:458937f2=;8709;0;7:?xu1980;6;u21eg913=:9m>1?h52220912=:>8h18<=4=61`>00<5>9o6864}r42g?6=:r7==?4{t>8o1<76b<5<>>69>n;|q55c<72;q6:<;53e9>110=<9k0q~8=0;296~;19?08h63:46874d=z{?8:6=4={<423?5c34??47:?a:p274=838p1;?7:2f8902>2=:j7p}92283>7}:>831?i5255c905g52z?55d<4l27>8o4;0`9~w3422909w08>b;1g?833k3>;m6s|63494?4|5n;<706?313ty=>:4?:3y>1gc=<9k018=;:448yv0503:1>v3:bg874d=:=:<19;5rs70:>5<5s4?h<7:?a:?67=<2>2wx:?o50;0x90e62=:j70;o>4;0`9>16c==?1v;;m63:41862>{t>;o1<716f34??o78j;|q56c<728?p1;?=:25893742:=01;?;:25893722:=01;?9:25893702:=01;?7:258937>2:=01;?n:258937e2:=01;:6:258932f2:=01;:m:258932d2:=01;:k:258932b2:=01;:i:25893372:=01;;>:25893352:=01;==:52b?xu1;80;6>u2623905g<5?996884=724>0052z?571<38h16:>85579~w3512908w08<2;74?804>3>;m6390686<>{t>:21<716f34<857;8;|q57<<72:q6:>7541c893552<301;>8:458yv04i3:1>v393`874d=:>:o19;5rs71a>5<5s4<8i7;7;<40`?27i2wx:>m50;0x935d2=:j708u262g905g<5?996864=724>0?5cz?2eg<2>27:m=427:hk4362==116;>h5579~w327290ow0?nc;75?87f:39n70:?6383586=>;0;o0>;6384886<>{t>=;1<7kt=0cg>00<58k86>k4=322>01<58i96884=0`;>6c<5;;=6894=0g`>00<58o96>k4=363>01<5?>i69?<;<500?3034=8j7;7;<57=?3>34=?m7;8;|q507<72jq6=lk5579>5d2=;l16>=<5569>5f5==?16=o753d9>641==>16=hj5579>5`5=;l16>9?5569>21e=<8901::;:45892222<<0q~8;3;29f~;6io0>:63>a480a>;58:0>;63>c5862>;6jh08i63=19863>;6ml0>:63>e580a>;5<;0>;6394e8756=:?=<188<4}r470?6=jr7:m;44:7:?50`<39:16;9<5599>5g6==?16>=:5569>5f3==?16><75569~w322290ow0?n7;1f?87ek39n70?i0;75?87b>39n70<;4;74?803n3>:?63846863>;6j80>:63>c7862>;0;l0>;63=0486<>;59h0>4638498717=z{?>=6=4j{<3b<7:>3:?402<2>27:n?4:6:?2g2<2>2727<8l4:8:p211=83op12:o01?>8:45894e?2<<01g}:9k>19;521`c97`=:9j319;521cd97`=:9o919;521d;97`=::==19:526409045<5>>96874=32;>0><5;;o6864}r467?6=:r7=844{t>6b<5<<969>n;|q513<72;q6:9m53e9>135=<9k0q~8:7;296~;17}:><:1?i52575905gn7>52z?514<4l27>:54;0`9~w33d2909w08:2;1g?83113>;m6s|64f94?4|5n;<77b?313ty=9h4?:3y>1a`=<9k018;>:448yv02n3:1>v3:e1874d=:=<919;5rs743>5<5s4?n=7:?a:?610<2>2wx:;?50;0x90c52=:j70;:7;75?xu1>;0;6?u25d1905g<5i94;0`9>10d==?1v;8;:18183b=3>;m63:5e862>{t>??1<716f34?=578j;|q523<7254018904f2<<01;=?:45890252>44:7:?575<2127=?94:8:?62`<2>27>n?4:5:p23?=837p}96`83>2}:>>>18<=4=40b>0><5?9?6884=71;>01<5<=96884=4ca>00<5<8n6874}r45f?6=0r7=;84;129>17g==>16:>:5569>26>==0169::5579>1g0==<169?j5579>17c==11v;8l:184800>3>:?63932863>;1;10>:63:77862>;2j10>963:2e863>;2:l0>:6s|67f94?0|5?=<69?<;<407?3?34<857;9;<7422>=<8901;7=:4:8935>2<20189n:448904e2<=01;=9:4:890df252621913=:>:319452595913=:=;h1955262491<=:=ki1985rs75b>5<0s4<387:>3:?660<2027>9k4:5:?6=d<2=27=4k4:8:?546<2027=?n4:7:p22d=832p1;6::530?835<3?<708<1;7;?83?i3?=70;l7;75?80?n3?2708?3;74?804k3?37p}97b83>3}:>1<18<=4=407>0?<5?9<6864=4:`>00<50?58z?5<2<39:169?:5599>267==01695k5579>1a5==<16:5h5579>255==016:>m5579~w31b290=w0878;627>;2:=0>:63936863>;2190>:63:d4861>;1;m0?9?5rs75e>5<1s4<357:>3:?572<2>27=?h4:7:?6=7<2>27>on4:6:?660<2>2wx:5>50;4x93>f2=;8708<5;7;?804i3?270;64;75?835>3?270;k7;76?xu1080;6;u269`9045<5?9>6894=71b>0><5<3=6884=405>01<5r7=4n4;129>263==?16:>o5569>1<>==?169?85579>1ad==<1v;6<:18;80?l3>:?6393`862>;1;80>:63:a4862>;2:?0>463:de861>;1190?9?5261690045bz?5<1<39=16:5;5406893>12=;?70877;620>;1010?=95269;9042<5?2j69?;;<4;f?26<27=4n4;159>2=b=<8>01;7?:52b?xu10o0;6?u269d905g<5?3;6894}r4:5?6=92=3=;>16:585369>2=1=;>16:565369>2=?=;>16:5o5369>2=d=;>16:5m5369>2=b=;>16::>5406893162=;?70882;620>;1?:0?=9526669042<5?=>69?;;<442?26<27=;:4;159>22>=<8>01;96:537?80>:3>;m6s|68694?4|V?3?70865;63e>{t>0l1<717434526`c913=z{?k>6=4<{<4b6?5c342i6894=7c5>16f3ty=m:4?:2y>2d5=;m164n4:7:?5e=<38h1v;o6:18b80f<39o706k:4589=c==>164k4:7:?:4?30343:6894=9:912=:>hk18=o4=9;2>0><5><=6864}r4bf?6=;r7=mk4;129>b`<2=27jn7;9;|q5ef<72:q6:o>540189c`==<16mn4:6:p2db=839p1;l>:530?87783?>70ok:448yv0fm3:14v39b38756=:i10>463>00861>;fm3?<70oi:4589g6==>16n<4:7:?a6?303ty=n>4?:0`x93ga2:=01;l?:25893d62:=01;l=:2589=222<201;l9:52b?81a;3?<70o7:45892452<=015?l:4589=7b2<=01:hm:45892`c2<=01:hi:4589=662<=015><:4589=622<=015>8:4589dd==116mn4:8:?b`?3?34kn6864=`d91==:j90>463m1;7;?8d52<2015o<:458yv0e<3:1jv39b5874d=:>k<194527d091<=:?ln194527g691<=:?o?194527g491<=:?o=194527g:91<=:?l9194527d691<=:?l?194527d491<=:?l=194527g091<=z{?h>6=4i{<4a1?27i27=n;4:7:?4a7<2?274:7:?4a1<2?27v39ag80`>;am3>;m6s|6b494?4|5?h;6>j4=gd905g52z?5f4<4l27:<=4;0`9~w3e?2909w08m2;1g?87793>;m6s|6b;94?>|5?n?69?<;<0ae?31348in7;9;<3g1?30348957;9;<02a?5b34=o6884=3;0>0354z?5`0<39:16?9?5579>3c<2>27:?l4:5:p2fg=83>p1;j9:530?8>?2<<01<=j:448962d20?=>528180a>;6:k0>:63<4d861>;?i3?>7p}9ce83>0}:>m218<=4=9`913=:0808i63<45862>;6;j0>96s|6bg94?3|5?n269?<;<:`>00<5181?h5213g913=:;<:1985rs7ae>5<2s43:?;`?3134286>k4=013>00<5:?968;4}r4g4?6==r7=ho4;129><`<2>27387=j;<306?31349>87;:;|q5`4<722789;4:5:p2a4=83?p1;jk:530?8?72<<015853d9>560==?16?865549~w3b4290>w08ke;627>;>93?=7068:2g8945?2<<01>;n:478yv0cn3:1iv39e`8756=:;9?19:523`f912=::1819;5224a913=::<;1?h522`6912=::jl19:52260913=::?=1?h521dc912=:::o19;5222197`=:1l0>:6s|6d394?1|5?oi69?<;<133?30349jj7;8;<;e>6c<5:;h6884=03a>03<5hk1985rs7g3>5<1s43:?043<2?278mh4:7:?25c<2>27j47;9;<113?323ty=i?4?:6y>2`b=<8901>>7:45896d72<=01l>53d9>55e==?16??75549>eg<2?2wx:h=50;5x93cb2=;870=?9;74?85e93?<70o>:2g8967a2<<011v;k;:18480bn3>:?63<0`863>;4j;0>;63n2;1f?877n3?=70==b;76?8gc2<=0q~8j5;293~;1n90?=>5231`912=:;k919:52a280a>;6980>:63ne;75?855l3?>7p}9e783>2}:>o;18<=4=22`>01<5:h?6894=`697`=:98919;52ag862>;4:o0>96s|6d594?1|5?l969?<;<13`?30349i97;8;6c<58;>6884=c2913=:;:;1985rs7g;>5<0s43:?04`<2?278n;4:7:?b2?5b34;:;7;9;<`2>00<5:9868;4}r4f=?6=?r7=j94;129>75`==>16?o95569>e2<4m27:=44:6:?a6?31349897;:;|q5b0<72:q6:k85401891?02<=01977:458yv0a03:1>v39f8874d=:9>:1:h5rs7db>5<1s4=;:7;8;<4e3?27i27<<:4:7:?5b<<2027=jo4:7:?15a<2?2wx:kl50;0x976f2=?9708ib;63e>{t>oi1<76c<5?lm69>n;|q5ba<72:q6=;95440894122?o01;hj:52b?xu0890;6?u220297`=:?9;18=o4}r535?6=;r7<53>=<<801<99:7g8yv17;3:1>v3=1080a>;68;0?5<4s48:o7;9;<026?5b34;;87:?a:p353=838p1??<:2g892612=:j7p}80783>6}:?9=18=o4=04a>13534;<578j;|q44<<72;q6;=o541c894302?o0q~9?b;292~;09>0>;63809874d=:?8219:5271c91==:?9i19:5221:912=z{>:h6=4={<3e1?22:27<;m6s|71g94?5|58>n69;=;<36g?0b34=;j7:?a:p347=838p16}:?8918=o4=06e>13534;>h78j;|q451<72;q6=km53d9>550=<9k0q~9>5;297~;58>0>:63>fe80a>;6810?5<5s4;mi7=j;<523?27i2wx;<950;1x927?2=:j70?:2;666>;6>90=i6s|70;94?g|5?l=69?;;<:71?3134=:57:?a:?;4g<2?274:8:?;40<20273<:4:8:?;4<<202wx;7l3?3706?f;7;?8>683?3706>2;7;?8>6<3?3706>6;7;?8>603?370h21955280c91==::ln195522dd91==::o;1955280a91==:08o195527g`91==:?on1955270a905g52z?5b3<4l27<>=4;0`9~w2462909w08i6;14?815:3>;m6s|73194?4|58h=69;=;<517?27i2wx;?:50;0x94e?2<=01?h;:52b?xu0:<0;6?u21b;912=::o?18=o4}r512?6=:r7:m<4;539>370=<9k0q~9=7;296~;6j:0>;63=f9874d=z{>836=4={<3a0?30348m57:?a:p37?=838p1:7}:9h;18=o4=616>0152z?2f3<38h16;>l5569~w24c2909w0?lb;63e>;0<80>:6s|73g94?4|58o;69>n;<575?303ty<>k4?:3y>5c3=<9k01:=::4:8yv1483:1>v3=0`874d=:?:h19;5rs612>5<5s48:j7:?a:?404<202wx;><50;0x97532=:j709;1;7:?xu0;:0;6?u273c97a=:?=818=o4}r500?6=:r7312==?1v:=::181814l3?<709<5;63e>{t?:<1<716f34=857;8;|q472<72;q6;>6541c8925>2<<0q~99i6=4={<50f?27i277}:?:n18=o4=663>0152z?47`<38h16;9:5599~w2272909w09;0;63e>;0<;0>:6s|75194?4|5>>?69>n;<572?303ty<894?:3y>313=<9k01::9:448yv1303:1>v3849874d=:?=?19:5rs66a>5:7:>3:?040<2>279jo4279o9427:j94751==?16>km53d9>5de==>16>=>5579>5c0=;l16nk427hm7;9;<360?323ty<8n4?:6y>30>=<8901>>9:448926f2<<01>9>:44892412<<01:>l:4489f?==?1v::j:18b81213>:?63<09862>;5nm08i63>ae863>;5880>:63>f680a>;d839n70?;a;75?8ee2<<01>9<:478yv13n3:1mv385`8756=:;9319;522gg97`=:9ho19:52210913=:9o21?h52c080a>;4>00>:63lc;75?872>3?>7p}85183>d}:?00<5;lm6>k4=0ce>01<5;:86884=0d:>6c<5j81?h5215f913=:km0>:63<74861>{t?<;1<7?:{<56g?26;27:<54:8:?243<2027<=?4:6:?1b=<20279j:4:8:?242<2027:n<4:7:?2f7<2027:n=4:7:?141<2>279<84:6:?143<21278:n4:6:?455<2=27<6cg==?1v:;=:1822~;0=l0?=>5231a913=:;9;1?h52c580a>;6810>;63>07863>;09;0>;63=f9863>;5n>0>;63>06863>;dn3?=70?m1;7;?87e:3?2703?<709?8;7:?84ai3?<709>3;75?87713?370=88;7;?850?3?<70=86;75?xu0=:0;6<174349;h7;9;<136?5b34i>6>k4=02;>0?<58:=6884=3d;>0?<5>:36864=3d4>00<5;l26864=024>00<5:=26864=254>00<5m:19;521c0912=::9<19;5211;912=:;>219:5rs677>53:?04`<2>278<>40197>5ez?424<39:16?=h5579>752=;l16o:4279j44:6:?45=<2>27<278;<4:7:?463<2?27<9l541c892012<=01n65569>gd<2?27hn7;8;01<5jn19:52cd863>;dn3?<70j?:4589a7==>16h?4:7:?`=?3034==87;:;|q426<72:q6nh4<8:?g7?5?34==87:?a:p333=83np1:;9:25892302:=01:;6:258923f2:=01:;m:258923d2:=01:;j:258923a2:=01:8?:25892062:=01:;7:25892012=:j709:d;14?xu0>>0;6?u2302905g<5;>h6884}r5561d=;l16>8>5549>330==?1v:86:181856:3>;m63=4b863>{t??k1<716f348?o7;7;|q42g<72;q6?<:541c8972d2<30q~99c;296~;49<0??8:52b?843l3?37p}86g83>7}:;8218=o4=36g>0?52z?05<<38h16>9k5579~w2162909w0=>a;63e>;5;6s|76194?4|5>2=69?<;<4a3?313ty<;i4?:3y>3=1=<8901;lj:448yv10<3:1?v38898756=::lo19:527dc913=z{>=>6=4<{<5;=?26;279j=4:7:?4ag<2>2wx;:850;1x92>f2=;8706}:?1h18<=4=6gf>00<5?hi6864}r543``==?16:ol5569~w21>2908w097d;627>;5n;0>;638f1862>{t?>k1<7=t=6:f>174348m>7;9;<5e5?313ty<;o4?:2y>3=`=<8901;ll:4:893dc2<<0q~98c;297~;0190?=>527g1913=:>ki19:5rs65f>5<5s4=2=7:>3:?5fc<2>2wx;:h50;1x92?52=;8706}:?0918<=4=3g`>00<5?i:6884}r5;5?6=;r7<594;129>2f4==?16:o75599~w2>52908w0965;627>;1k:0>:639b8863>{t?191<7=t=6;5>174348ni7;9;<4a3<1=<8901:k7:44893df2<20q~975;297~;0110?=>527d;913=:>kk19:5rs6;:>5<0s4;:i7:?a:?1e0<2>2795l4279o84j3>;m6s|78a94?0|588;69>n;<0;6?30348j:7;8;<0g5?303488j7;9;<001?5b3ty<5i4?:7y>577=<9k01?6=:4:897g02<=01?j=:45897272<<01?=9:2g8yv1>m3:1:v3>23874d=::1919;522`:912=::m919:52253913=:::=1?h5rs6;e>5<1s4;9?7:?a:?1<6<2?279m44:7:?1`1<2?2798?4:6:?17=<4m2wx;l>50;4x94432=:j70<73;7;?84fi3?<7000<5;9j6>k4=3ca>00<5;n=6884}r5b6?6=>r7:>;4;0`9>6=2==>16>9;5579>66d=;l16>lm5579>6a1==?1v:o<:185875?3>;m63=8586<>;5:63=3b80a>;5im0>:63=d9862>{t?h>1<78t=00;>16f348?;7;9;<00`?5b3483:7;:;<0ba?31348o57;9;|q4e0<721q6=>j541c897?62:o015:8:4489=g62<=01:o8:47897??22<=0q~9n6;296~;59o0?9?527`5905g54z?27c<38h16>4:5579>67g==?16>?>53d9~w2g>290>w0?;0;63e>;51=0>;63=2c862>;5:808i637a5862>{t?hk1<7;t=062>16f348287;7;<01g?313489>7=j;<:b0?303ty514=<9k01?7::448974c2<<01?<<:2g89=g32<20q~9nc;291~;6<:0?1945rs6cg>5<1s4;?87:?a:?16c<2>279>842wx;lk50;5x94222=:j70<66;75?84483?=70<=6;1f?816l3?=706;8;75?816m3?37p}8ag83>2}:9=<18=o4=3;5>01<5;9:6884=304>6c<5>;o6894=96;>01<5>;n6894}r5a4?6=1r7:8:4;0`9>664==?16>?653d9><11==>164l?5579>6<6==?16;34c==0164975579~w2d62909w0?:7;63e>;5l90>;6s|7c094?5|58?369>n;<0g5?31348h:7=j;|q4f6<72:q6=87541c897b52<<01?m8:2g8yv1e<3:1?v3>5`874d=::m919;522b:97`=z{>h>6=4<{<36f?27i279h94:6:?1g<<4m2wx;o850;1x943d2=:j706}:96c<5;n=6894}r5a6fe=;l16>i95569~w2d>2908w0?:f;63e>;5km08i63=d9863>{t?kk1<7=t=043>16f348hi7=j;<0g=?303ty526=<9k01?o::458yv1ek3:1?v3>70874d=::h<19;5228`97`=z{>ho6=4<{<346?27i279m:4:6:?1=f<4m2wx;ok50;1x94142=:j70l39n7p}8bg83>6}:9>>18=o4=3c:>00<5;3n6>k4}r5`4?6=;r7:;84;0`9>6dg==?16>4h53d9~w2e62908w0?86;63e>;5i908i63=ac863>{t?j81<7=t=054>16f348j=7=j;<0bg?303ty4?:2y>52>=<9k01?o=:2g897gc2<=0q~9l4;297~;6?00?5<5s4;3h7:?a:?;77<2>2wx;n850;0x94>b2=:j706;2;7;?xu0k>0;6?u219d905g<51>;6864}r5`<6c==11v:m6:18187>93>;m6373b86<>{t?jk1<716f3428m7;7;|q4gg<72;q6=4=541c89=5?2<20q~9lc;296~;61=0?io6=4={<3:1?27i273?94:8:p3fc=838p1<79:52b?8>583?37p}8cg83>7}:90=18=o4=913>0052z?2==<38h164?k5579~w2b62909w0?69;63e>;?:j0>:6s|7e094?4|583j69>n;<:1e?303ty4?:3y>5v3>9b874d=:0;<1955rs6f6>5<5s4;2h7:?a:?;61<202wx;i850;0x94?b2=:j706=2;7;?xu0l>0;6?u218d905g<51>?6864}r5g274:9:?;40<21273<:4:9:?;4<<21273mi4;0`9>;m6381886<>;09k0>4637a9863>;09j0>46s|7ec94?2|5;<369>n;<514?31342jh7;7;<:ba?3?3ty6=7=<9k01;l9:4:892c52<201:kk:4:892`32<201:h::4:892`12<201:h8:4:892`?2<201:k<:4:892c32<201:k::4:892c12<201:k8:4:892`52<20q~9kc;291~;5180?5<2s482m7:?a:?45<<2127<=o4:9:?;e=<2027<=n4:9:p3ac=83>p1?l7:52b?81583?3706nd;75?8>fm3?=7p}8dg83>1}::j?18=o4=603>01<51ko6874=9cf>0?5cz?1f<<38h164995589>3`>==016;h75589>3`g==016;hl5589>3`e==016;hk5589>3``==016;k>5589>3c7==0164l=5579~w2c62909w0;0n00?5<4s4;;60o0=i638fe874d=z{>ln6=4<{<34`?22:27:5=49e:?4bc<38h1v5>?:180870m3>>>63>9085a>;?880?5<4s4;4;0`9~w=632908w0?70;666>;61:0=i63704874d=z{1:=6=4<{<3;5?22:27:5949e:?;42<38h1v5>7:18087?:3>>>63>9485a>;?800?5<5s4;2:78j;<:3f?27i2wx4=m50;1x94>42=?970?67;4f?8>7l3>;m6s|81g94?4|5;o>69;=;<:3b?27i2wx4=h50;1x94>32=?970?68;4f?8>683>;m6s|80394?5|582>69;=;<3:=?0b342:>7:?a:p<45=839p1<69:571?87>i34;63e>{t08?1<7=t=0:4>13534;2n78j;<:22?27i2wx4<950;1x94>?2=?970?6c;4f?8>603>;m6s|80;94?5|582269;=;<3:`?0b342:m7:?a:p<4d=839p1<6n:571?87>m3c;63e>{t08n1<7=t=0:a>13534;2j78j;<:2a?27i2wx4=39o706=6;63e>{t0;=1<76b<518369>n;|q;6<<72;q6;4=53e9><7g=<9k0q~6=b;296~;01;08h6372b874d=z{18o6=4={<5:5?5c3429i7:?a:p<7`=838p1:68:2f89=572=:j7p}73083>7}:?1<1?i52820905g52z?44>3>;m6s|82594?4|5>2o6>j4=91;>16f3ty3?44?:3y>3=e=;m164>o541c8yv>4j3:1>v388c80`>;?;j0?5<5s4=3m7=k;<:0a?27i2wx4>h50;0x92>>2:n015:?:52b?xu?<80;6?u279:97a=:0=818=o4}r:77?6=:r7<554;01808;63893803>;01:08;63895803>;01<08;63897803>;01>08;63899803>;00108;63888803>;00h08;6388c803>;00j08;6388e803>;00l08;6388g803>;01908;63744874d=z{1><6=4>7z?467<2>2738:4;0`9><5d==116:lo5569>2d>==>16:l85569>3c?==>16=5m5569>6`g==>164=j5569><5`==>164<>5569><44==>164<:5569><40==>164<65569><4g==>16>hl5569>6`b==>16>hh5569>6c7==>164=75569><10==>1v5:n:1826~;4;?0?919;5285a910=:>k<19;526c5912=:>ko19:526cd912=:>j:19:526b3912=:>j819:526b1912=:>k219:526c;91<=:>kk194526c`91<=:>ki194526cf912=z{1>i6=4={<3f4?22:2738n4;0`9~w=2c290;5=:08i63=6880a>;6mk0>;63=5d863>;5?=0>;639c5862>{t0=o1<79t=21;>16f348>87=j;<05e?5b34;no7;8;<06b?30348<97;8;<4`0?303ty38k4?:6y>76?=<9k01?;::2g8970e2:o01k>19;52273912=::>=19:5rs972>5<0s498n7:?a:?112<4m279:i4=l:52b?841;3?=70<:8;1f?84013?=70<9e;1f?87a83?<708m5;75?xu?=:0;6:u232f905g<5;6c<5;=j6884=34e>6c<58l:6894=7`7>0>87>57z?07`<38h16>;;5579>60g=;l16>:l5579>626=;l16=k<5569>2g2==>1v5;::184854n3>;m63=67862>;5=k08i63=7b862>;5?808i63>f2863>;1j<0>46s|84494?1|5:?i69>n;<0a47;:;<0`7?32348h>7;:;|q;12<72;q6=nl544089=3?2=:j7p}75883>0}:;01<5;hi6894=0f5>01<51kj6884}r:6e?6==r789i4;0`9>6ge==?16>ol5599>5a1==>164lo5569~w=3e290>w0=:e;63e>;5jj0>;63=bc86=>;6l10>;637a`86<>{t016f348io7;7;<0a`?3134;o57;8;<:be?3>3ty39i4?:4y>736=<9k01?ll:4;897dc2<=0180?5<2s49=>7:?a:?1f`<2?279ni4:9:?2`f<2?273mn4:7:p<36=83?p1>8<:52b?84em3?37080;68u2376905g<5;hn6874=3`e>01<58nn6894=9c`>0?7>53z?03d<38h16>:=5569>63>=;l1v58<:181850j3>;m63=75862>{t0?>1<716f348<97;9;|q;20<72;q6?:j541c897112<<0q~696;296~;4?l0?=838p1>6?:52b?84013?<7p}76883>7}:;1;18=o4=35b>0152z?0<7<38h16>:l5569~w=0e2909w0=73;63e>;5?j0>;6s|87a94?5|5:3269>n;<06`?30348>>7=j;|q;2a<72;q6?4o541c8973b2<<0q~69e;296~;41k0?7k:52b?84193?=7p}77083>7}:;0o18=o4=341>007>52z?0=c<38h16>;=5569~w=142909w0=n0;63e>;5>=0>;6s|86694?4|5:k:69>n;<051?303ty3;84?:3y>7d4=<9k01?89:458yv>0>3:1nv37808756=:;hn19;523`197`=::19;5221;97`=:ll08i63j8;75?xu??10;6lu28909045<5:km6884=2c7>6c<58i:6894=336>00<5;:i6>k4=ed97`=:;1?19;52e`862>;6>m0>96s|86594?1|512869?<;<1ba?31347g6==?16?l;53d9>5f4==>16><85579>65e=;l16i=427nn7;9;<1:6?323ty3;l4?:`y><=3=<8901>l>:44896g12:o01k:2g89`7=;l16?565579>af<2>27::k4:5:p<2d=83kp1569:530?85e:3?=70=n7;1f?87d<3?<70<>8;75?847m39n70k=:2g894012<<01hj5579>7<2==<1v59l:1821~;?0>0?=>52116912=:99819:52713913=::o>19:522g191==:9j<19:521b591==:9j?19:5220;913=::8k19;5220`912=:;1h19;526gd910=:>oo19852710912=:9991955211791<=:;0=1945238491==:;0?19:5rs9:;>5<0s42347:>3:?5b2<2>278n>4:6:?0e=<4m27n?7=j;00<5;l=6884}r:4`?6=9?q64575401896d32<<01>o6:2g89`2=;l16==:5599>554==116;=?5569>6c2==116>k=5569>553==116?495599>ac<2>27:o;4:8:?2g2<21279=l4:7:?15g<2127=j:4:9:?1b3<2?27<2wx4:k50;318>?i3>:?63;4ih08i63j5;1f?877<3?270??2;75?80a?3?370>3?=70h?:44894e02<=01??m:44894622<=01>78:458yv>0n3:1iv378c8756=:;k<19;523``97`=:m?08i63>05862>;5n=0>:63=f4863>;68<0>:63<99863>;4190>463<96862>;0::0>463i1;75?80a?3?<7p}78183>`}:01i18<=4=2`4>00<5:kh6>k4=d597`=:?9<19;522g7913=:?9=19;526g;912=:;0219;52382912=:?;919:526g`91==:n;0>:63=1e862>{t01n1<7kt=3fg>16f3422=7;8;01<5lk19:52ec863>;bk3?<70kk:4589`c==>16ik4:7:?e4?3034l:6894=g0912=:m00>;6378g861>{t01o1<7=t=eg97==:n:0846378g874d=z{13;6=4k{<:;5?503423>7=8;<:;0?50342397=8;<:;2?503423;7=8;<:;=?503423m7=8;<:;f?503423o7=8;<:;7?503422=7:?a:?;<=<4?2wx44<50;0x96d?2=:j70k4=3g1>03<513:6884}r::0?6=:r78nl4;0`9>6ac==>1v57::18185ej3>;m63=dd86<>{t00<1<716f348oi7;6;|q;=2<72;q6?oj541c897ba2<<0q~668;296~;4jl0?m?:52b?84cn3?27p}79c83>7}:;j;18=o4=3g3>0052z?0g7<38h16>h>5569~w=?c290:4v379e8756=:00l19;52820912=:0::19:5283g912=:0;i19:52826913=:0;:19;5248f910=:0h<19;5283c913=:0;219;52834913=:0;>19;52830913=:0=>19;52850913=:0=:19;5282g913=:0:i19;5282c913=:0:219;52824913=:0=<19;5rs9;f>5<5s422h7=k;<::b?27i2wx4l>50;0x9=?c2:=015o9:52b?xu?i80;6?u28`3905g<51k96884}r:b6?6=:r73m?4;0`9>1v5o<:1818>f>3?3706n3;4e?xu?i<0;6ou27d:912=:?l319:527dc912=:?lh19:527da912=:?lo19:527dd912=:?o:19:527g3912=:0h819:5285:905g52z?;e2<38h164l<5599~w=ge290?w06nb;63e>;0:90>5637ae863>;?il0>;6s|8`a94?4|51ki6874=9c`>3`517y>2d>==016:l85589>3c?==016=5m5589>6`g==0164=j5589><5`==0164<>5589><44==0164<:5589><40==0164<65589><4g==016>hl5589>6`b==016>hh5589>6c7==0164<4c==016;kl5589>3cb==0164lk541c8yxh619l1<7?tH43f?xh618:1<7?tH43f?xh618;1<7?tH43f?xh61881<7?tH43f?xh61891<7?tH43f?xh618>1<7?tH43f?xh618?1<7?tH43f?xh618<1<7?tH43f?xh618=1<7?tH43f?xh61821<7?tH43f?xh61831<7?tH43f?xh618k1<7?tH43f?xh618h1<7?tH43f?xh618i1<7?tH43f?xh618n1<7?tH43f?xh618o1<7?tH43f?xh618l1<7?tH43f?xh61;:1<7?tH43f?xh61;;1<7?tH43f?xh61;81<7?tH43f?xh61;91<7?tH43f?xh61;>1<7?tH43f?xh61;?1<7?tH43f?xh61;<1<7?tH43f?xh61;=1<7?tH43f?xh61;21<7?tH43f?xh61;31<7?tH43f?xh61;k1<7?tH43f?xh61;h1<7?tH43f?xh61;i1<7?tH43f?xh61;n1<7?tH43f?xh61;o1<7?tH43f?xh61;l1<7?tH43f?xh61::1<7?tH43f?xh61:;1<7?tH43f?xh61:81<7?tH43f?xh61:91<7?tH43f?xh61:>1<7?tH43f?xh61:?1<7?tH43f?xh61:<1<7?tH43f?xh61:=1<7?tH43f?xh61:21<7?tH43f?xh61:31<7?tH43f?xh61:k1<7?tH43f?xh61:h1<7?tH43f?xh61:i1<7?tH43f?xh61:n1<7?tH43f?xh61:o1<7?tH43f?xh61:l1<7?tH43f?xh61=:1<7?tH43f?xh61=;1<7?tH43f?xh61=81<7?tH43f?xh61=91<7?tH43f?xh61=>1<7?tH43f?xh61=?1<7?tH43f?xh61=<1<7?tH43f?xh61==1<7?tH43f?xh61=21<7?tH43f?xh61=31<7?tH43f?xh61=k1<7?tH43f?xh61=h1<7?tH43f?xh61=i1<7?tH43f?xh61=n1<7?tH43f?xh61=o1<7?tH43f?xh61=l1<7?tH43f?xh61<:1<7?tH43f?xh61<;1<7?tH43f?xh61<81<7?tH43f?xh61<91<7?tH43f?xh61<>1<7?tH43f?xh611<7?tH43f?xh61??1<7?tH43f?xh61?<1<7?tH43f?xh61?=1<7?tH43f?xh61?21<7?tH43f?xh61?31<7?tH43f?xh61?k1<7?tH43f?xh61?h1<7?tH43f?xh61?i1<7?tH43f?xh61?n1<7?tH43f?xh61?o1<7?tH43f?xh61?l1<7?tH43f?xh61>:1<7?tH43f?xh61>;1<7?tH43f?xh61>81<7?tH43f?xh61>91<7?tH43f?xh61>>1<7?tH43f?xh61>?1<7?tH43f?xh61><1<7?tH43f?xh61>=1<7?tH43f?xh61>21<7?tH43f?xh61>31<7?tH43f?xh61>k1<7?tH43f?xh61>h1<7?tH43f?xh61>i1<7?tH43f?xh61>n1<7?tH43f?xh61>o1<7?tH43f?xh61>l1<7?tH43f?xh611:1<7?tH43f?xh611;1<7?tH43f?xh61181<7?tH43f?xh61191<7?tH43f?xh611>1<7?tH43f?xh611?1<7?tH43f?xh611<1<7?tH43f?xh611=1<7?tH43f?xh61121<7?tH43f?xh61131<7?tH43f?xh611k1<7?tH43f?xh611h1<7?tH43f?xh611i1<7?tH43f?xh611n1<7?tH43f?xh611o1<7?tH43f?xh611l1<7?tH43f?xh610:1<7?tH43f?xh610;1<7?tH43f?xh61081<7?tH43f?xh61091<7?tH43f?xh610>1<7?tH43f?xh610?1<7?tH43f?xh610<1<7?tH43f?xh610=1<7?tH43f?xh61021<7?tH43f?xh61031<7?tH43f?xh610k1<7?tH43f?xh610h1<7?tH43f?xh610i1<7?tH43f?xh610n1<7?tH43f?xh610o1<7?tH43f?xh610l1<7?tH43f?xh61h:1<7?tH43f?xh61h;1<7?tH43f?xh61h81<7?tH43f?xh61h91<7?tH43f?xh61h>1<7?tH43f?xh61h?1<7?tH43f?xh61h<1<7?tH43f?xh61h=1<7?tH43f?xh61h21<7?tH43f?xh61h31<7?tH43f?xh61hk1<7?tH43f?xh61hh1<7?tH43f?xh61hi1<7?tH43f?xh61hn1<7?tH43f?xh61ho1<7?tH43f?xh61hl1<7?tH43f?xh61k:1<7?tH43f?xh61k;1<7?tH43f?xh61k81<7?tH43f?xh61k91<7?tH43f?xh61k>1<7?tH43f?xh61k?1<7?tH43f?xh61k<1<7?tH43f?xh61k=1<7?tH43f?xh61k21<7?tH43f?xh61k31<7?tH43f?xh61kk1<7?tH43f?xh61kh1<7?tH43f?xh61ki1<7?tH43f?xh61kn1<7?tH43f?xh61ko1<7?tH43f?xh61kl1<7?tH43f?xh61j:1<7?tH43f?xh61j;1<7?tH43f?xh61j81<7?tH43f?xh61j91<7?tH43f?xh61j>1<7?tH43f?xh61j?1<7?tH43f?xh61j<1<7?tH43f?xh61j=1<7?tH43f?xh61j21<7?tH43f?xh61j31<7?tH43f?xh61jk1<7?tH43f?xh61jh1<7?tH43f?xh61ji1<7?tH43f?xh61jn1<7?tH43f?xh61jo1<7?tH43f?xh61jl1<7?tH43f?xh61m:1<7?tH43f?xh61m;1<7?tH43f?xh61m81<7?tH43f?xh61m91<7?tH43f?xh61m>1<7?tH43f?xh61m?1<7?tH43f?xh61m<1<7?tH43f?xh61m=1<7?tH43f?xh61m21<7?tH43f?xh61m31<7?tH43f?xh61mk1<7?tH43f?xh61mh1<7?tH43f?xh61mi1<7?tH43f?xh61mn1<7?tH43f?xh61mo1<7?tH43f?xh61ml1<7?tH43f?xh61l:1<7?tH43f?xh61l;1<7?tH43f?xh61l81<7?tH43f?xh61l91<7?tH43f?xh61l>1<7?tH43f?xh61l?1<7?tH43f?xh61l<1<7?tH43f?xh61l=1<7?tH43f?xh61l21<7?tH43f?xh61l31<7?tH43f?xh61lk1<7?tH43f?xh61lh1<7?tH43f?xh61li1<7?tH43f?xh61ln1<7?tH43f?xh61lo1<7?tH43f?xh61ll1<7?tH43f?xh61o:1<7?tH43f?xh61o;1<7?tH43f?xh61o81<7?tH43f?xh61o91<7?tH43f?xh61o>1<7?tH43f?xh61o?1<7?tH43f?xh61o<1<7?tH43f?xh61o=1<7?tH43f?xh61o21<7?tH43f?xh61o31<7?tH43f?xh61ok1<7?tH43f?xh61oh1<7?tH43f?xh61oi1<7?tH43f?xh61on1<7?tH43f?xh61oo1<7?tH43f?xh61ol1<7?tH43f?xh6i9:1<7?tH43f?xh6i9;1<7?tH43f?xh6i981<7?tH43f?xh6i991<7?tH43f?xh6i9>1<7?tH43f?xh6i9?1<7?tH43f?xh6i9<1<7?tH43f?xh6i9=1<7?tH43f?xh6i921<7?tH43f?xh6i931<7?tH43f?xh6i9k1<7?tH43f?xh6i9h1<7?tH43f?xh6i9i1<7?tH43f?xh6i9n1<7?tH43f?xh6i9o1<7?tH43f?xh6i9l1<7?tH43f?xh6i8:1<7?tH43f?xh6i8;1<7?tH43f?xh6i881<7?tH43f?xh6i891<7?tH43f?xh6i8>1<7?tH43f?xh6i8?1<7?tH43f?xh6i8<1<7?tH43f?xh6i8=1<7?tH43f?xh6i821<7?tH43f?xh6i831<7?tH43f?xh6i8k1<7?tH43f?xh6i8h1<7?tH43f?xh6i8i1<7?tH43f?xh6i8n1<7?tH43f?xh6i8o1<7?tH43f?xh6i8l1<7?tH43f?xh6i;:1<7?tH43f?xh6i;;1<7?tH43f?xh6i;81<7?tH43f?xh6i;91<7?tH43f?xh6i;>1<7?tH43f?xh6i;?1<7?tH43f?xh6i;<1<7?tH43f?xh6i;=1<7?tH43f?xh6i;21<7?tH43f?xh6i;31<7?tH43f?xh6i;k1<7?tH43f?xh6i;h1<7?tH43f?xh6i;i1<7?tH43f?xh6i;n1<7?tH43f?xh6i;o1<7?tH43f?xh6i;l1<7?tH43f?xh6i::1<7?tH43f?xh6i:;1<7?tH43f?xh6i:81<7?tH43f?xh6i:91<7?tH43f?xh6i:>1<7?tH43f?xh6i:?1<7?tH43f?xh6i:<1<7?tH43f?xh6i:=1<7?tH43f?xh6i:21<7?tH43f?xh6i:31<7?tH43f?xh6i:k1<7?tH43f?xh6i:h1<7?tH43f?xh6i:i1<7?tH43f?xh6i:n1<7?tH43f?xh6i:o1<7?tH43f?xh6i:l1<7?tH43f?xh6i=:1<7?tH43f?xh6i=;1<7?tH43f?xh6i=81<7?tH43f?xh6i=91<7?tH43f?xh6i=>1<7?tH43f?xh6i=?1<7?tH43f?xh6i=<1<7?tH43f?xh6i==1<7?tH43f?xh6i=21<7?tH43f?xh6i=31<7?tH43f?xh6i=k1<7?tH43f?xh6i=h1<7?tH43f?xh6i=i1<7?tH43f?xh6i=n1<7?tH43f?xh6i=o1<7?tH43f?xh6i=l1<7?tH43f?xh6i<:1<7?tH43f?xh6i<;1<7?tH43f?xh6i<81<7?tH43f?xh6i<91<7?tH43f?xh6i<>1<7?tH43f?xh6i1<7?tH43f?xh6i??1<7?tH43f?xh6i?<1<7?tH43f?xh6i?=1<7?tH43f?xh6i?21<7?tH43f?xh6i?31<7?tH43f?xh6i?k1<7?tH43f?xh6i?h1<7?tH43f?xh6i?i1<7?tH43f?xh6i?n1<7?tH43f?xh6i?o1<7?tH43f?xh6i?l1<7?tH43f?xh6i>:1<7?tH43f?xh6i>;1<7?tH43f?xh6i>81<7?tH43f?xh6i>91<7?tH43f?xh6i>>1<7?tH43f?xh6i>?1<7?tH43f?xh6i><1<7?tH43f?xh6i>=1<7?tH43f?xh6i>21<7?tH43f?xh6i>31<7?tH43f?xh6i>k1<7?tH43f?xh6i>h1<7?tH43f?xh6i>i1<7?tH43f?xh6i>n1<7?tH43f?xh6i>o1<7?tH43f?xh6i>l1<7?tH43f?xh6i1:1<7?tH43f?xh6i1;1<7?tH43f?xh6i181<7?tH43f?xh6i191<7?tH43f?xh6i1>1<7?tH43f?xh6i1?1<7?tH43f?xh6i1<1<7?tH43f?xh6i1=1<7?tH43f?xh6i121<7?tH43f?xh6i131<7?tH43f?xh6i1k1<7?tH43f?xh6i1h1<7?tH43f?xh6i1i1<7?tH43f?xh6i1n1<7?tH43f?xh6i1o1<7?tH43f?xh6i1l1<7?tH43f?xh6i0:1<7?tH43f?xh6i0;1<7?tH43f?xh6i081<7?tH43f?xh6i091<7?tH43f?xh6i0>1<7?tH43f?xh6i0?1<7?tH43f?xh6i0<1<7?tH43f?xh6i0=1<7?tH43f?xh6i021<7?tH43f?xh6i031<7?tH43f?xh6i0k1<7?tH43f?xh6i0h1<7?tH43f?xh6i0i1<7?tH43f?xh6i0n1<7?tH43f?xh6i0o1<7?tH43f?xh6i0l1<7?tH43f?xh6ih:1<7?tH43f?xh6ih;1<7?tH43f?xh6ih81<7?tH43f?xh6ih91<7?tH43f?xh6ih>1<7?tH43f?xh6ih?1<7?tH43f?xh6ih<1<7?tH43f?xh6ih=1<7?tH43f?xh6ih21<7?tH43f?xh6ih31<7?tH43f?xh6ihk1<7?tH43f?xh6ihh1<7?tH43f?xh6ihi1<7?tH43f?xh6ihn1<7?tH43f?xh6iho1<7?tH43f?xh6ihl1<7?tH43f?xh6ik:1<7?tH43f?xh6ik;1<7?tH43f?xh6ik81<7?tH43f?xh6ik91<7?tH43f?xh6ik>1<7?tH43f?xh6ik?1<7?tH43f?xh6ik<1<7?tH43f?xh6ik=1<7?tH43f?xh6ik21<7?tH43f?xh6ik31<7?tH43f?xh6ikk1<7?tH43f?xh6ikh1<7?tH43f?xh6iki1<7?tH43f?xh6ikn1<7?tH43f?xh6iko1<7?tH43f?xh6ikl1<7?tH43f?xh6ij:1<7?tH43f?xh6ij;1<7?tH43f?xh6ij81<7?tH43f?xh6ij91<7?tH43f?xh6ij>1<7?tH43f?xh6ij?1<7?tH43f?xh6ij<1<7?tH43f?xh6ij=1<7?tH43f?xh6ij21<7?tH43f?xh6ij31<7?tH43f?xh6ijk1<7?tH43f?xh6ijh1<7?tH43f?xh6iji1<7?tH43f?xh6ijn1<7?tH43f?xh6ijo1<7?tH43f?xh6ijl1<7?tH43f?xh6im:1<7?tH43f?xh6im;1<7?tH43f?xh6im81<7?tH43f?xh6im91<7?tH43f?xh6im>1<7?tH43f?xh6im?1<7?tH43f?xh6im<1<7?tH43f?xh6im=1<7?tH43f?xh6im21<7?tH43f?xh6im31<7?tH43f?xh6imk1<7?tH43f?xh6imh1<7?tH43f?xh6imi1<7?tH43f?xh6imn1<7?tH43f?xh6imo1<7?tH43f?xh6iml1<7?tH43f?xh6il:1<7?tH43f?xh6il;1<7?tH43f?xh6il81<7?tH43f?xh6il91<7?tH43f?xh6il>1<7?tH43f?xh6il?1<7?tH43f?xh6il<1<7?tH43f?xh6il=1<7?tH43f?xh6il21<7?tH43f?xh6il31<7?tH43f?xh6ilk1<7?tH43f?xh6ilh1<7?tH43f?xh6ili1<7?tH43f?xh6iln1<7?tH43f?xh6ilo1<7?tH43f?xh6ill1<7?tH43f?xh6io:1<7?tH43f?xh6io;1<7?tH43f?xh6io81<7?tH43f?xh6io91<7?tH43f?xh6io>1<7?tH43f?xh6io?1<7?tH43f?xh6io<1<7?tH43f?xh6io=1<7?tH43f?xh6io21<7?tH43f?xh6io31<7?tH43f?xh6iok1<7?tH43f?xh6ioh1<7?tH43f?xh6ioi1<7?tH43f?xh6ion1<7?tH43f?xh6ioo1<7?tH43f?xh6iol1<7?tH43f?xh6j9:1<7?tH43f?xh6j9;1<7?tH43f?xh6j981<7?tH43f?xh6j991<7?tH43f?xh6j9>1<7?tH43f?xh6j9?1<7?tH43f?xh6j9<1<7?tH43f?xh6j9=1<7?tH43f?xh6j921<7?tH43f?xh6j931<7?tH43f?xh6j9k1<7?tH43f?xh6j9h1<7?tH43f?xh6j9i1<7?tH43f?xh6j9n1<7?tH43f?xh6j9o1<7?tH43f?xh6j9l1<7?tH43f?xh6j8:1<7?tH43f?xh6j8;1<7?tH43f?xh6j881<7?tH43f?xh6j891<7?tH43f?xh6j8>1<7?tH43f?xh6j8?1<7?tH43f?xh6j8<1<7?tH43f?xh6j8=1<7?tH43f?xh6j821<7?tH43f?xh6j831<7?tH43f?xh6j8k1<7?tH43f?xh6j8h1<7?tH43f?xh6j8i1<7?tH43f?xh6j8n1<7?tH43f?xh6j8o1<7?tH43f?xh6j8l1<7?tH43f?xh6j;:1<7?tH43f?xh6j;;1<7?tH43f?xh6j;81<7?tH43f?xh6j;91<7?tH43f?xh6j;>1<7?tH43f?xh6j;?1<7?tH43f?xh6j;<1<7?tH43f?xh6j;=1<7?tH43f?xh6j;21<7?tH43f?xh6j;31<7?tH43f?xh6j;k1<7?tH43f?xh6j;h1<7?tH43f?xh6j;i1<7?tH43f?xh6j;n1<7?tH43f?xh6j;o1<7?tH43f?xh6j;l1<7?tH43f?xh6j::1<7?tH43f?xh6j:;1<7?tH43f?xh6j:81<7?tH43f?xh6j:91<7?tH43f?xh6j:>1<7?tH43f?xh6j:?1<7?tH43f?xh6j:<1<7?tH43f?xh6j:=1<7?tH43f?xh6j:21<7?tH43f?xh6j:31<7?tH43f?xh6j:k1<7?tH43f?xh6j:h1<7?tH43f?xh6j:i1<7?tH43f?xh6j:n1<7?tH43f?xh6j:o1<7?tH43f?xh6j:l1<7?tH43f?xh6j=:1<7?tH43f?xh6j=;1<7?tH43f?xh6j=81<7?tH43f?xh6j=91<7?tH43f?xh6j=>1<7?tH43f?xh6j=?1<7?tH43f?xh6j=<1<7?tH43f?xh6j==1<7?tH43f?xh6j=21<7?tH43f?xh6j=31<7?tH43f?xh6j=k1<7?tH43f?xh6j=h1<7?tH43f?xh6j=i1<7?tH43f?xh6j=n1<7?tH43f?xh6j=o1<7?tH43f?xh6j=l1<7?tH43f?xh6j<:1<7?tH43f?xh6j<;1<7?tH43f?xh6j<81<7?tH43f?xh6j<91<7?tH43f?xh6j<>1<7?tH43f?xh6j1<7?tH43f?xh6j??1<7?tH43f?xh6j?<1<7?tH43f?xh6j?=1<7?tH43f?xh6j?21<7?tH43f?xh6j?31<7?tH43f?xh6j?k1<7?tH43f?xh6j?h1<7?tH43f?xh6j?i1<7?tH43f?xh6j?n1<7?tH43f?xh6j?o1<7?tH43f?xh6j?l1<7?tH43f?xh6j>:1<7?tH43f?xh6j>;1<7?tH43f?xh6j>81<7?tH43f?xh6j>91<7?tH43f?xh6j>>1<7?tH43f?xh6j>?1<7?tH43f?xh6j><1<7?tH43f?xh6j>=1<7?tH43f?xh6j>21<7?tH43f?xh6j>31<7?tH43f?xh6j>k1<7?tH43f?xh6j>h1<7?tH43f?xh6j>i1<7?tH43f?xh6j>n1<7?tH43f?xh6j>o1<7?tH43f?xh6j>l1<7?tH43f?xh6j1:1<7?tH43f?xh6j1;1<7?tH43f?xh6j181<7?tH43f?xh6j191<7?tH43f?xh6j1>1<7?tH43f?xh6j1?1<7?tH43f?xh6j1<1<7?tH43f?xh6j1=1<7?tH43f?xh6j121<7?tH43f?xh6j131<7?tH43f?xh6j1k1<7?tH43f?xh6j1h1<7?tH43f?xh6j1i1<7?tH43f?xh6j1n1<7?tH43f?xh6j1o1<7?tH43f?xh6j1l1<7?tH43f?xh6j0:1<7?tH43f?xh6j0;1<7?tH43f?xh6j081<7?tH43f?xh6j091<7?tH43f?xh6j0>1<7?tH43f?xh6j0?1<7?tH43f?xh6j0<1<7?tH43f?xh6j0=1<7?tH43f?xh6j021<7?tH43f?xh6j031<7?tH43f?xh6j0k1<7?tH43f?xh6j0h1<7?tH43f?xh6j0i1<7?tH43f?xh6j0n1<7?tH43f?xh6j0o1<7?tH43f?xh6j0l1<7?tH43f?xh6jh:1<7?tH43f?xh6jh;1<7?tH43f?xh6jh81<7?tH43f?xh6jh91<7?tH43f?xh6jh>1<7?tH43f?xh6jh?1<7?tH43f?xh6jh<1<7?tH43f?xh6jh=1<7?tH43f?xh6jh21<7?tH43f?xh6jh31<7?tH43f?xh6jhk1<7?tH43f?xh6jhh1<7?tH43f?xh6jhi1<7?tH43f?xh6jhn1<7?tH43f?xh6jho1<7?tH43f?xh6jhl1<7?tH43f?xh6jk:1<7?tH43f?xh6jk;1<7?tH43f?xh6jk81<7?tH43f?xh6jk91<7?tH43f?xh6jk>1<7?tH43f?xh6jk?1<7?tH43f?xh6jk<1<7?tH43f?xh6jk=1<7?tH43f?xh6jk21<7?tH43f?xh6jk31<7?tH43f?xh6jkk1<7?tH43f?xh6jkh1<7?tH43f?xh6jki1<7?tH43f?xh6jkn1<7?tH43f?xh6jko1<7?tH43f?xh6jkl1<7?tH43f?xh6jj:1<7?tH43f?xh6jj;1<7?tH43f?xh6jj81<7?tH43f?xh6jj91<7?tH43f?xh6jj>1<7?tH43f?xh6jj?1<7?tH43f?xh6jj<1<7?tH43f?xh6jj=1<7?tH43f?xh6jj21<7?tH43f?xh6jj31<7?tH43f?xh6jjk1<7?tH43f?xh6jjh1<7?tH43f?xh6jji1<7?tH43f?xh6jjn1<7?tH43f?xh6jjo1<7?tH43f?xh6jjl1<7?tH43f?xh6jm:1<7?tH43f?xh6jm;1<7?tH43f?xh6jm81<7?tH43f?xh6jm91<7?tH43f?xh6jm>1<7?tH43f?xh6jm?1<7?tH43f?xh6jm<1<7?tH43f?xh6jm=1<7?tH43f?xh6jm21<7?tH43f?xh6jm31<7?tH43f?xh6jmk1<7?tH43f?xh6jmh1<7?tH43f?xh6jmi1<7?tH43f?xh6jmn1<7?tH43f?xh6jmo1<7?tH43f?xh6jml1<7?tH43f?xh6jl:1<7?tH43f?xh6jl;1<7?tH43f?xh6jl81<7?tH43f?xh6jl91<7?tH43f?xh6jl>1<7?tH43f?xh6jl?1<7?tH43f?xh6jl<1<7?tH43f?xh6jl=1<7?tH43f?xh6jl21<7?tH43f?xh6jl31<7?tH43f?xh6jlk1<7?tH43f?xh6jlh1<7?tH43f?xh6jli1<7?tH43f?xh6jln1<7?tH43f?xh6jlo1<7?tH43f?xh6jll1<7?tH43f?xh6jo:1<7?tH43f?xh6jo;1<7?tH43f?xh6jo81<7?tH43f?xh6jo91<7?tH43f?xh6jo>1<7?tH43f?xh6jo?1<7?tH43f?xh6jo<1<7?tH43f?xh6jo=1<7?tH43f?xh6jo21<7?tH43f?xh6jo31<7?tH43f?xh6jok1<7?tH43f?xh6joh1<7?tH43f?xh6joi1<7?tH43f?xh6jon1<7?tH43f?xh6joo1<7?tH43f?xh6jol1<7?tH43f?xh6k9:1<7?tH43f?xh6k9;1<7?tH43f?xh6k981<7?tH43f?xh6k991<7?tH43f?xh6k9>1<7?tH43f?xh6k9?1<7?tH43f?xh6k9<1<7?tH43f?xh6k9=1<7?tH43f?xh6k921<7?tH43f?xh6k931<7?tH43f?xh6k9k1<7?tH43f?xh6k9h1<7?tH43f?xh6k9i1<7?tH43f?xh6k9n1<7?tH43f?xh6k9o1<7?tH43f?xh6k9l1<7?tH43f?xh6k8:1<7?tH43f?xh6k8;1<7?tH43f?xh6k881<7?tH43f?xh6k891<7?tH43f?xh6k8>1<7?tH43f?xh6k8?1<7?tH43f?xh6k8<1<7?tH43f?xh6k8=1<7?tH43f?xh6k821<7?tH43f?xh6k831<7?tH43f?xh6k8k1<7?tH43f?xh6k8h1<7?tH43f?xh6k8i1<7?tH43f?xh6k8n1<7?tH43f?xh6k8o1<7?tH43f?xh6k8l1<7?tH43f?xh6k;:1<7?tH43f?xh6k;;1<7?tH43f?xh6k;81<7?tH43f?xh6k;91<7?tH43f?xh6k;>1<7?tH43f?xh6k;?1<7?tH43f?xh6k;<1<7?tH43f?xh6k;=1<7?tH43f?xh6k;21<7?tH43f?xh6k;31<7?tH43f?xh6k;k1<7?tH43f?xh6k;h1<7?tH43f?xh6k;i1<7?tH43f?xh6k;n1<7?tH43f?xh6k;o1<7?tH43f?xh6k;l1<7?tH43f?xh6k::1<7?tH43f?xh6k:;1<7?tH43f?xh6k:81<7?tH43f?xh6k:91<7?tH43f?xh6k:>1<7?tH43f?xh6k:?1<7?tH43f?xh6k:<1<7?tH43f?xh6k:=1<7?tH43f?xh6k:21<7?tH43f?xh6k:31<7?tH43f?xh6k:k1<7?tH43f?xh6k:h1<7?tH43f?xh6k:i1<7?tH43f?xh6k:n1<7?tH43f?xh6k:o1<7?tH43f?xh6k:l1<7?tH43f?xh6k=:1<7?tH43f?xh6k=;1<7?tH43f?xh6k=81<7?tH43f?xh6k=91<7?tH43f?xh6k=>1<7?tH43f?xh6k=?1<7?tH43f?xh6k=<1<7?tH43f?xh6k==1<7?tH43f?xh6k=21<7?tH43f?xh6k=31<7?tH43f?xh6k=k1<7?tH43f?xh6k=h1<7?tH43f?xh6k=i1<7?tH43f?xh6k=n1<7?tH43f?xh6k=o1<7?tH43f?xh6k=l1<7?tH43f?xh6k<:1<7?tH43f?xh6k<;1<7?tH43f?xh6k<81<7?tH43f?xh6k<91<7?tH43f?xh6k<>1<7?tH43f?xh6k1<7?tH43f?xh6k??1<7?tH43f?xh6k?<1<7?tH43f?xh6k?=1<7?tH43f?xh6k?21<7?tH43f?xh6k?31<7?tH43f?xh6k?k1<7?tH43f?xh6k?h1<7?tH43f?xh6k?i1<7?tH43f?xh6k?n1<7?tH43f?xh6k?o1<7?tH43f?xh6k?l1<7?tH43f?xh6k>:1<7?tH43f?xh6k>;1<7?tH43f?xh6k>81<7?tH43f?xh6k>91<7?tH43f?xh6k>>1<7?tH43f?xh6k>?1<7?tH43f?xh6k><1<7?tH43f?xh6k>=1<7?tH43f?xh6k>21<7?tH43f?xh6k>31<7?tH43f?xh6k>k1<7?tH43f?xh6k>h1<7?tH43f?xh6k>i1<7?tH43f?xh6k>n1<7?tH43f?xh6k>o1<7?tH43f?xh6k>l1<7?tH43f?xh6k1:1<7?tH43f?xh6k1;1<7?tH43f?xh6k181<7?tH43f?xh6k191<7?tH43f?xh6k1>1<7?tH43f?xh6k1?1<7?tH43f?xh6k1<1<7?tH43f?xh6k1=1<7?tH43f?xh6k121<7?tH43f?xh6k131<7?tH43f?xh6k1k1<7?tH43f?xh6k1h1<7?tH43f?xh6k1i1<7?tH43f?xh6k1n1<7?tH43f?xh6k1o1<7?tH43f?xh6k1l1<7?tH43f?xh6k0:1<7?tH43f?xh6k0;1<7?tH43f?xh6k081<7?tH43f?xh6k091<7?tH43f?xh6k0>1<7?tH43f?xh6k0?1<7?tH43f?xh6k0<1<7?tH43f?xh6k0=1<7?tH43f?xh6k021<7?tH43f?xh6k031<7?tH43f?xh6k0k1<7?tH43f?xh6k0h1<7?tH43f?xh6k0i1<7?tH43f?xh6k0n1<7?tH43f?xh6k0o1<7?tH43f?xh6k0l1<7?tH43f?xh6kh:1<7?tH43f?xh6kh;1<7?tH43f?xh6kh81<7?tH43f?xh6kh91<7?tH43f?xh6kh>1<7?tH43f?xh6kh?1<7?tH43f?xh6kh<1<7?tH43f?xh6kh=1<7?tH43f?xh6kh21<7?tH43f?xh6kh31<7?tH43f?xh6khk1<7?tH43f?xh6khh1<7?tH43f?xh6khi1<7?tH43f?xh6khn1<7?tH43f?xh6kho1<7?tH43f?xh6khl1<7?tH43f?xh6kk:1<7?tH43f?xh6kk;1<7?tH43f?xh6kk81<7?tH43f?xh6kk91<7?tH43f?xh6kk>1<7?tH43f?xh6kk?1<7?tH43f?xh6kk<1<7?tH43f?xh6kk=1<7?tH43f?xh6kk21<7?tH43f?xh6kk31<7?tH43f?xh6kkk1<7?tH43f?xh6kkh1<7?tH43f?xh6kki1<7?tH43f?xh6kkn1<7?tH43f?xh6kko1<7?tH43f?xh6kkl1<7?tH43f?xh6kj:1<7?tH43f?xh6kj;1<7?tH43f?xh6kj81<7?tH43f?xh6kj91<7?tH43f?xh6kj>1<7?tH43f?xh6kj?1<7?tH43f?xh6kj<1<7?tH43f?xh6kj=1<7?tH43f?xh6kj21<7?tH43f?xh6kj31<7?tH43f?xh6kjk1<7?tH43f?xh6kjh1<7?tH43f?xh6kji1<7?tH43f?xh6kjn1<7?tH43f?xh6kjo1<7?tH43f?xh6kjl1<7?tH43f?xh6km:1<7?tH43f?xh6km;1<7?tH43f?xh6km81<7?tH43f?xh6km91<7?tH43f?xh6km>1<7?tH43f?xh6km?1<7?tH43f?xh6km<1<7?tH43f?xh6km=1<7?tH43f?xh6km21<7?tH43f?xh6km31<7?tH43f?xh6kmk1<7?tH43f?xh6kmh1<7?tH43f?xh6kmi1<7?tH43f?xh6kmn1<7?tH43f?xh6kmo1<7?tH43f?xh6kml1<7?tH43f?xh6kl:1<7?tH43f?xh6kl;1<7?tH43f?xh6kl81<7?tH43f?xh6kl91<7?tH43f?xh6kl>1<7?tH43f?xh6kl?1<7?tH43f?xh6kl<1<7?tH43f?xh6kl=1<7?tH43f?xh6kl21<7?tH43f?xh6kl31<7?tH43f?xh6klk1<7?tH43f?xh6klh1<7?tH43f?xh6kli1<7?tH43f?xh6kln1<7?tH43f?xh6klo1<7?tH43f?xh6kll1<7?tH43f?xh6ko:1<7?tH43f?xh6ko;1<7?tH43f?xh6ko81<7?tH43f?xh6ko91<7?tH43f?xh6ko>1<7?tH43f?xh6ko?1<7?tH43f?xh6ko<1<7?tH43f?xh6ko=1<7?tH43f?xh6ko21<7?tH43f?xh6ko31<7?tH43f?xh6kok1<7?tH43f?xh6koh1<7?tH43f?xh6koi1<7?tH43f?xh6kon1<7?tH43f?xh6koo1<7?tH43f?xh6kol1<7?tH43f?xh6l9:1<7?tH43f?xh6l9;1<7?tH43f?xh6l981<7?tH43f?xh6l991<7?tH43f?xh6l9>1<7?tH43f?xh6l9?1<7?tH43f?xh6l9<1<7?tH43f?xh6l9=1<7?tH43f?xh6l921<7?tH43f?xh6l931<7?tH43f?xh6l9k1<7?tH43f?xh6l9h1<7?tH43f?xh6l9i1<7?tH43f?xh6l9n1<7?tH43f?xh6l9o1<7?tH43f?xh6l9l1<7?tH43f?xh6l8:1<7?tH43f?xh6l8;1<7?tH43f?xh6l881<7?tH43f?xh6l891<7?tH43f?xh6l8>1<7?tH43f?xh6l8?1<7?tH43f?xh6l8<1<7?tH43f?xh6l8=1<7?tH43f?xh6l821<7?tH43f?xh6l831<7?tH43f?xh6l8k1<7?tH43f?xh6l8h1<7?tH43f?xh6l8i1<7?tH43f?xh6l8n1<7?tH43f?xh6l8o1<7?tH43f?xh6l8l1<7?tH43f?xh6l;:1<7?tH43f?xh6l;;1<7?tH43f?xh6l;81<7?tH43f?xh6l;91<7?tH43f?xh6l;>1<7?tH43f?xh6l;?1<7?tH43f?xh6l;<1<7?tH43f?xh6l;=1<7?tH43f?xh6l;21<7?tH43f?xh6l;31<7?tH43f?xh6l;k1<7?tH43f?xh6l;h1<7?tH43f?xh6l;i1<7?tH43f?xh6l;n1<7?tH43f?xh6l;o1<7?tH43f?xh6l;l1<7?tH43f?xh6l::1<7?tH43f?xh6l:;1<7?tH43f?xh6l:81<7?tH43f?xh6l:91<7?tH43f?xh6l:>1<7?tH43f?xh6l:?1<7?tH43f?xh6l:<1<7?tH43f?xh6l:=1<7?tH43f?xh6l:21<7?tH43f?xh6l:31<7?tH43f?xh6l:k1<7?tH43f?xh6l:h1<7?tH43f?xh6l:i1<7?tH43f?xh6l:n1<7?tH43f?xh6l:o1<7?tH43f?xh6l:l1<7?tH43f?xh6l=:1<7?tH43f?xh6l=;1<7?tH43f?xh6l=81<7?tH43f?xh6l=91<7?tH43f?xh6l=>1<7?tH43f?xh6l=?1<7?tH43f?xh6l=<1<7?tH43f?xh6l==1<7?tH43f?xh6l=21<7?tH43f?xh6l=31<7?tH43f?xh6l=k1<7?tH43f?xh6l=h1<7?tH43f?xh6l=i1<7?tH43f?xh6l=n1<7?tH43f?xh6l=o1<7?tH43f?xh6l=l1<7?tH43f?xh6l<:1<7?tH43f?xh6l<;1<7?tH43f?xh6l<81<7?tH43f?xh6l<91<7?tH43f?xh6l<>1<7?tH43f?xh6l1<7?tH43f?xh6l??1<7?tH43f?xh6l?<1<7?tH43f?xh6l?=1<7?tH43f?xh6l?21<7?tH43f?xh6l?31<7?tH43f?xh6l?k1<7?tH43f?xh6l?h1<7?tH43f?xh6l?i1<7?tH43f?xh6l?n1<7?tH43f?xh6l?o1<7?tH43f?xh6l?l1<7?tH43f?xh6l>:1<7?tH43f?xh6l>;1<7?tH43f?xh6l>81<7?tH43f?xh6l>91<7?tH43f?xh6l>>1<7?tH43f?xh6l>?1<7?tH43f?xh6l><1<7?tH43f?xh6l>=1<7?tH43f?xh6l>21<7?tH43f?xh6l>31<7?tH43f?xh6l>k1<7?tH43f?xh6l>h1<7?tH43f?xh6l>i1<7?tH43f?xh6l>n1<7?tH43f?xh6l>o1<7?tH43f?xh6l>l1<7?tH43f?xh6l1:1<7?tH43f?xh6l1;1<7?tH43f?xh6l181<7?tH43f?xh6l191<7?tH43f?xh6l1>1<7?tH43f?xh6l1?1<7?tH43f?xh6l1<1<7?tH43f?xh6l1=1<7?tH43f?xh6l121<7?tH43f?xh6l131<7?tH43f?xh6l1k1<7?tH43f?xh6l1h1<7?tH43f?xh6l1i1<7?tH43f?xh6l1n1<7?tH43f?xh6l1o1<7?tH43f?xh6l1l1<7?tH43f?xh6l0:1<7?tH43f?xh6l0;1<7?tH43f?xh6l081<7?tH43f?xh6l091<7?tH43f?xh6l0>1<7?tH43f?xh6l0?1<7?tH43f?xh6l0<1<7?tH43f?xh6l0=1<7?tH43f?xh6l021<7?tH43f?xh6l031<7?tH43f?xh6l0k1<7?tH43f?xh6l0h1<7?tH43f?xh6l0i1<7?tH43f?xh6l0n1<7?tH43f?xh6l0o1<7?tH43f?xh6l0l1<7?tH43f?xh6lh:1<7?tH43f?xh6lh;1<7?tH43f?xh6lh81<7?tH43f?xh6lh91<7?tH43f?xh6lh>1<7?tH43f?xh6lh?1<7?tH43f?xh6lh<1<7?tH43f?xh6lh=1<7?tH43f?xh6lh21<7?tH43f?xh6lh31<7?tH43f?xh6lhk1<7?tH43f?xh6lhh1<7?tH43f?xh6lhi1<7?tH43f?xh6lhn1<7?tH43f?xh6lho1<7?tH43f?xh6lhl1<7?tH43f?xh6lk:1<7?tH43f?xh6lk;1<7?tH43f?xh6lk81<7?tH43f?xh6lk91<7?tH43f?xh6lk>1<7?tH43f?xh6lk?1<7?tH43f?xh6lk<1<7?tH43f?xh6lk=1<7?tH43f?xh6lk21<7?tH43f?xh6lk31<7?tH43f?xh6lkk1<7?tH43f?xh6lkh1<7?tH43f?xh6lki1<7?tH43f?xh6lkn1<7?tH43f?xh6lko1<7?tH43f?xh6lkl1<7?tH43f?xh6lj:1<7?tH43f?xh6lj;1<7?tH43f?xh6lj81<7?tH43f?xh6lj91<7?tH43f?xh6lj>1<7?tH43f?xh6lj?1<7?tH43f?xh6lj<1<7?tH43f?xh6lj=1<7?tH43f?xh6lj21<7?tH43f?xh6lj31<7?tH43f?xh6ljk1<7?tH43f?xh6ljh1<7?tH43f?xh6lji1<7?tH43f?xh6ljn1<7?tH43f?xh6ljo1<7?tH43f?xh6ljl1<7?tH43f?xh6lm:1<7?tH43f?xh6lm;1<7?tH43f?xh6lm81<7?tH43f?xh6lm91<7?tH43f?xh6lm>1<7?tH43f?xh6lm?1<7?tH43f?xh6lm<1<7?tH43f?xh6lm=1<7?tH43f?xh6lm21<7?tH43f?xh6lm31<7?tH43f?xh6lmk1<7?tH43f?xh6lmh1<7?tH43f?xh6lmi1<7?tH43f?xh6lmn1<7?tH43f?xh6lmo1<7?tH43f?xh6lml1<7?tH43f?xh6ll:1<7?tH43f?xh6ll;1<7?tH43f?xh6ll81<7?tH43f?xh6ll91<7?tH43f?xh6ll>1<7?tH43f?xh6ll?1<7?tH43f?xh6ll<1<7?tH43f?xh6ll=1<7?tH43f?xh6ll21<7?tH43f?xh6ll31<7?tH43f?xh6llk1<7?tH43f?xh6llh1<7?tH43f?xh6lli1<7?tH43f?xh6lln1<7?tH43f?xh6llo1<7?tH43f?xh6lll1<7?tH43f?xh6lo:1<7?tH43f?xh6lo;1<7?tH43f?xh6lo81<7?tH43f?xh6lo91<7?tH43f?xh6lo>1<7?tH43f?xh6lo?1<7?tH43f?xh6lo<1<7?tH43f?xh6lo=1<7?tH43f?xh6lo21<7?tH43f?xh6lo31<7?tH43f?xh6lok1<7?tH43f?xh6loh1<7?tH43f?xh6loi1<7?tH43f?xh6lon1<7?tH43f?xh6loo1<7?tH43f?xh6lol1<7?tH43f?xh6m9:1<7?tH43f?xh6m9;1<7?tH43f?xh6m981<7?tH43f?xh6m991<7?tH43f?xh6m9>1<7?tH43f?xh6m9?1<7?tH43f?xh6m9<1<7?tH43f?xh6m9=1<7?tH43f?xh6m921<7?tH43f?xh6m931<7?tH43f?xh6m9k1<7?tH43f?xh6m9h1<7?tH43f?xh6m9i1<7?tH43f?xh6m9n1<7?tH43f?xh6m9o1<7?tH43f?xh6m9l1<7?tH43f?xh6m8:1<7?tH43f?xh6m8;1<7?tH43f?xh6m881<7?tH43f?xh6m891<7?tH43f?xh6m8>1<7?tH43f?xh6m8?1<7?tH43f?xh6m8<1<7?tH43f?xh6m8=1<7?tH43f?xh6m821<7?tH43f?xh6m831<7?tH43f?xh6m8k1<7?tH43f?xh6m8h1<7?tH43f?xh6m8i1<7?tH43f?xh6m8n1<7?tH43f?xh6m8o1<7?tH43f?xh6m8l1<7?tH43f?xh6m;:1<7?tH43f?xh6m;;1<7?tH43f?xh6m;81<7?tH43f?xh6m;91<7?tH43f?xh6m;>1<7?tH43f?xh6m;?1<7?tH43f?xh6m;<1<7?tH43f?xh6m;=1<7?tH43f?xh6m;21<7?tH43f?xh6m;31<7?tH43f?xh6m;k1<7?tH43f?xh6m;h1<7?tH43f?xh6m;i1<7?tH43f?xh6m;n1<7?tH43f?xh6m;o1<7?tH43f?xh6m;l1<7?tH43f?xh6m::1<7?tH43f?xh6m:;1<7?tH43f?xh6m:81<7?tH43f?xh6m:91<7?tH43f?xh6m:>1<7?tH43f?xh6m:?1<7?tH43f?xh6m:<1<7?tH43f?xh6m:=1<7?tH43f?xh6m:21<7?tH43f?xh6m:31<7?tH43f?xh6m:k1<7?tH43f?xh6m:h1<7?tH43f?xh6m:i1<7?tH43f?xh6m:n1<7?tH43f?xh6m:o1<7?tH43f?xh6m:l1<7?tH43f?xh6m=:1<7?tH43f?xh6m=;1<7?tH43f?xh6m=81<7?tH43f?xh6m=91<7?tH43f?xh6m=>1<7?tH43f?xh6m=?1<7?tH43f?xh6m=<1<7?tH43f?xh6m==1<7?tH43f?xh6m=21<7?tH43f?xh6m=31<7?tH43f?xh6m=k1<7?tH43f?xh6m=h1<7?tH43f?xh6m=i1<7?tH43f?xh6m=n1<7?tH43f?xh6m=o1<7?tH43f?xh6m=l1<7?tH43f?xh6m<:1<7?tH43f?xh6m<;1<7?tH43f?xh6m<81<7?tH43f?xh6m<91<7?tH43f?xh6m<>1<7?tH43f?xh6m1<7?tH43f?xh6m??1<7?tH43f?xh6m?<1<7?tH43f?xh6m?=1<7?tH43f?xh6m?21<7?tH43f?xh6m?31<7?tH43f?xh6m?k1<7?tH43f?xh6m?h1<7?tH43f?xh6m?i1<7?tH43f?xh6m?n1<7?tH43f?xh6m?o1<7?tH43f?xh6m?l1<7?tH43f?xh6m>:1<7?tH43f?xh6m>;1<7?tH43f?xh6m>81<7?tH43f?xh6m>91<7?tH43f?xh6m>>1<7?tH43f?xh6m>?1<7?tH43f?xh6m><1<7?tH43f?xh6m>=1<7?tH43f?xh6m>21<7?tH43f?xh6m>31<7?tH43f?xh6m>k1<7?tH43f?xh6m>h1<7?tH43f?xh6m>i1<7?tH43f?xh6m>n1<7?tH43f?xh6m>o1<7?tH43f?xh6m>l1<7?tH43f?xh6m1:1<7?tH43f?xh6m1;1<7?tH43f?xh6m181<7?tH43f?xh6m191<7?tH43f?xh6m1>1<7?tH43f?xh6m1?1<7?tH43f?xh6m1<1<7?tH43f?xh6m1=1<7?tH43f?xh6m121<7?tH43f?xh6m131<7?tH43f?xh6m1k1<7?tH43f?xh6m1h1<7?tH43f?xh6m1i1<7?tH43f?xh6m1n1<7?tH43f?xh6m1o1<7?tH43f?xh6m1l1<7?tH43f?xh6m0:1<7?tH43f?xh6m0;1<7?tH43f?xh6m081<7?tH43f?xh6m091<7?tH43f?xh6m0>1<7?tH43f?xh6m0?1<7?tH43f?xh6m0<1<7?tH43f?xh6m0=1<7?tH43f?xh6m021<7?tH43f?xh6m031<7?tH43f?xh6m0k1<7?tH43f?xh6m0h1<7?tH43f?xh6m0i1<7?tH43f?xh6m0n1<7?tH43f?xh6m0o1<7?tH43f?x{zuIJHw?:=f;g2=1`18>wKLOu?}ABSxFG \ No newline at end of file diff --git a/main.ngd b/main.ngd index 6fe1287..09c81e6 100644 --- a/main.ngd +++ b/main.ngd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$37f5=6:2.Yi{g|inl9$4(5=1*/=6>;;12351=7898?7=>?D5934763398;<95?6121?5>339K;>95?A1:2?42<98;886?<32686567<285t:<:no}?<2,77?032>=1>989;;923447<03E^X][[:MGG82<768;047AZTQWW>WAE4>0;2<562:;05>G53H387LJ]4:@2545B6;;1OEh5KRB]PQFEB[ZL^@85KRHTF5>C33L>;<95JEDC7?@CA811NT]OADDF25>C_XHDOIIQMCE]EMIC6<2OS\L@KEE]@KKUSZHCEX^?>;DZSEKBBLVCXIRHFLD68B5>7;2L:?>5I1508B2487F?<5:NBPFN53EN=7AKK<1<5?ICC484=7AKK<3<5?ICC4:4=7AKK<5<5?ICC4<4=7AKK<7<;?ICC4>0;2;5CEE>4:d=KMMU;SBLZF`9OAAY6WFH^Jl5CEE]1[JDRNh1GIIQ<_N@VBd=KMMU?SBLZF`9OAAY2WFH^Jl5CEE]5[JDRNh1GIIQ8_N@VB7=KA>1GEO\NRX48HJGCMM90@BM7;MM@EIDRN>1GCJGLAM68HPR6<2F^X?84LTV1[I2JR\=<0@XZ;_E48HPR3WE20@[MBOR107>K69;1F9?5B629NQ]3Kfbfx]i}fooa8Ilhhz_oydaa2:L37>H79;1E=>5A1018J4543G;>?6@>729M5<4VFZ]k0\D@PBTQJ@]d>79QCG:56?1YKO2<>79QCG:36?1YKO2:>79QCG:1611YKO28:1<5?WAE4>4j7_IM_1]LFP@f3[MIS5\EU18WC@33ZFN[95\RRV7?VUB\?1_EHAIF59W]UC13\D^_HJl;TQFVZPN[@HGI>5YCBa8RBGCz`|n~"F\159UCDBuaoy#E]Paof34566>2\LMI|fvdp,LVYffm:;<=<=209UCDBuaoy#E]Paof34565:&F^X9QC_CWE5==QOHNye{k}/IQ\ekb789:9>"A>a:TDEAtn~lx$D^Qnne234545WZ];=;5YG@Fqmscu'AYTmcj?0127140<^NKO~dxjr.JP[dhc89:;;>?n;WEB@woqm{%C_Road123425X[^::?6XHAEpjr`t(A[MI0=0>1008RBGCz`|n~"G]GC>1:4753_MJHgyes-JVBD;<7;:?6XHAEpjr`t(A[MI090>1018RBGCz`|n~"G]GC>7:446=2\LMI|fvdp,MWAE4=4:Sk;>e:TDEAtn~lx$Aljk_Y]b`a6789Uhu1>11d9UCDBuaoy#@okd^Z\eab789:Tot2>>338RBGCz`|n~"Cnde][[dbc89:;Snw31?]qp4c<^NKO~dxjr.Ob`aY_Whno<=>?_b{?6;463_MJHgyes-NeabXPVkoh=>?0^az878Xz};n7[INDskuaw)JimnTTRokd1234Ze~4:49=6XHAEpjr`t(EhnoSUQnde2345Ydq595Sz>e:TDEAtn~lx$Aljk_Y]b`a6789Uhu1:11d9UCDBuaoy#@okd^Z\eab789:Tot2:>0g8RBGCz`|n~"Cnde][[dbc89:;Snw36?3f?SAFL{c}i!Baef\\Zgcl9:;P@IMxbzh| M`fg[]Yflm:;<=Qly=:=5c=QOHNye{k}/Lcg`Z^Ximn;<=>Pltv?4;423_MJHgyes-NeabXPVkoh=>?0^nvp969W@D]S=?i;WEB@woqm{%FmijPX^cg`5678Vrd~1>11g9UCDBuaoy#@okd^Z\eab789:Ttb|31?3e?SAFL{c}i!Baef\\Zgcl9:;Pxnp?7;7a3_MJHgyes-NeabXPVkoh=>?0^zlv9299o1]KLJ}iwgq+HgclVRTmij?012\|jt;=7;m7[INDskuaw)JimnTTRokd1234Z~hz5<5=k5YG@Fqmscu'DkohRVPaef3456Xpfx7;3?i;WEB@woqm{%FmijPX^cg`5678Vrd~1611g9UCDBuaoy#@okd^Z\eab789:Ttb|39?3f?SAFL{c}i!Baef\]Zgcl9:;P@IMxbzh| M`fg[\Yflm:;<=Qly=3=64=QOHNye{k}/Lcg`Z_Ximn;<=>Pcx>2:Zts9l1]KLJ}iwgq+HgclVSTmij?012\g|:56;;0ZJOKrhtfv*KflmURSljk0123[f;:7Uyx;WEB@woqm{%FmijPY^cg`5678Vir0>0Pru3f?SAFL{c}i!Baef\]Zgcl9:;P@IMxbzh| M`fg[\Yflm:;<=Qly=6=[wr6m2\LMI|fvdp,IdbcWPUjhi>?01]`}939:81]KLJ}iwgq+HgclVSTmij?012\g|:26Vx=h5YG@Fqmscu'DkohRWPaef3456Xkp6=2??4VFCGvlpbz&GjhiQV_`fg4567Wjs7:3Q}t0g8RBGCz`|n~"Cnde]Z[dbc89:;Snw37?02?SAFL{c}i!Baef\]Zgcl9:;?0^az8=8592\LMI|fvdp,IdbcWPUjhi>?01]`}9>9W{~:j6XHAEpjr`t(EhnoSTQnde2345Yk}}6;2?;4VFCGvlpbz&GjhiQV_`fg4567We0=0PIOT\44`<^NKO~dxjr.Ob`aY^Whno<=>?_ymq8586n2\LMI|fvdp,IdbcWPUjhi>?01]{kw:668l0ZJOKrhtfv*KflmURSljk0123[}iu4;4:j6XHAEpjr`t(EhnoSTQnde2345Yg{682f:TDEAtn~lx$Aljk_X]b`a6789Usc2:>0d8RBGCz`|n~"Cnde]Z[dbc89:;Sua}<7<2b>P@IMxbzh| M`fg[\Yflm:;<=Qwos>4:4`<^NKO~dxjr.Ob`aY^Whno<=>?_ymq8=86n2\LMI|fvdp,IdbcWPUjhi>?01]{kw:>68i0ZJOKrhtfv*Kdg|dSea}i{]`}9699j1]KLJ}iwgq+Heh}g~Tdb|fz^az8486n2\LMI|fvdp,Ifirf}Uccgu_b{?5;Yu|8i0ZJOKrhtfv*Kdg|dSea}i{]`}9499o1]KLJ}iwgq+Heh}g~Tdb|fz^az878Xz};h7[INDskuaw)JkfexRf`rhx\g|:468l0ZJOKrhtfv*Kdg|dSea}i{]`}959W{~:o6XHAEpjr`t(Eje~byQgosky[f;<7;m7[INDskuaw)JkfexRf`rhx\g|:36Vx=n5YG@Fqmscu'DidyczPhnpj~Ze~4<4:j6XHAEpjr`t(Eje~byQgosky[f;=7Uyxc:TDEAtn~lx$Anaznu]kkwo}Wjs743?i;WEB@woqm{%Fob{at^jlvl|Xkp632R|{1e9UCDBuaoy#@m`uov\ljtnrVf~x1>1229UCDBuaoy#@m`uov\ljtnrVf~x1>1_HLU[57c3_MJHgyes-Ngjsi|Vbd~dtPxnp?4;7c3_MJHgyes-Ngjsi|Vbd~dtPxnp?5;7c3_MJHgyes-Ngjsi|Vbd~dtPxnp?6;7c3_MJHgyes-Ngjsi|Vbd~dtPxnp?7;7c3_MJHgyes-Ngjsi|Vbd~dtPxnp?0;7c3_MJHgyes-Ngjsi|Vbd~dtPxnp?1;7c3_MJHgyes-Ngjsi|Vbd~dtPxnp?2;7c3_MJHgyes-Ngjsi|Vbd~dtPxnp?3;7c3_MJHgyes-Ngjsi|Vbd~dtPxnp?<;7c3_MJHgyes-Ngjsi|Vbd~dtPxnp?=;473_MJHgyes-Ngjsi|Vbd~dtPxnp?=;Yu|8h0ZJOKrhtfv*Kdg|dS{k}t^az8586j2\LMI|fvdp,Ifirf}U}izPcx>2:4c<^NKO~dxjr.O`kphsWoyxRmv<0<\vq7e3_MJHgyes-Ngjsi|V|n~yQly=0=5`=QOHNye{k}/LalqkrX~lxSnw32?]qp4d<^NKO~dxjr.O`kphsWoyxRmv<2<2a>P@IMxbzh| MbmvjqYqm{~Tot2<>^pw5g=QOHNye{k}/LalqkrX~lxSnw34?3f?SAFL{c}i!BcnwmpZpbz}Uhu1:1_sv2f>P@IMxbzh| MbmvjqYqm{~Tot2:>0g8RBGCz`|n~"Clotlw[scu|Vir080Pru3a?SAFL{c}i!BcnwmpZpbz}Uhu1811d9UCDBuaoy#@m`uov\r`tsWjs7:3Q}t0`8RBGCz`|n~"Clotlw[scu|Vir0:0>e:TDEAtn~lx$Anaznu]uawrXkp6<2R|{1c9UCDBuaoy#@m`uov\r`tsWjs743?j;WEB@woqm{%Fob{at^tfvqYdq525Sz>c:TDEAtn~lx$Anaznu]uawrXd|~7<3<=;WEB@woqm{%Fob{at^tfvqYk}}6;2RGAV^22g>P@IMxbzh| MbmvjqYqm{~Ttb|30?3`?SAFL{c}i!BcnwmpZpbz}Usc2>>0a8RBGCz`|n~"Clotlw[scu|Vrd~1<11b9UCDBuaoy#@m`uov\r`tsWqey0>0>c:TDEAtn~lx$Anaznu]uawrXpfx783?l;WEB@woqm{%Fob{at^tfvqYg{6>24:o6XHAEpjr`t(Eje~byQyesv\|jt;07;h7[INDskuaw)JkfexRxjru]{kw:>68l0ZJOKrhtfv*Kdg|dS{k}t^zlv9?9W{~9m6XHAEpjr`t(EziSUQndeqvf5678Vrd~1:110]JJSY7:=1]KLJ}iwgq+HurjVRTmij|uc2345Yg{6>24:=?:4VFCGvlpbz&GxyoQW_`fgwpd789:Ttb|38?3260=QOHNye{k}/LqvfZ^Ximnxyo>?01]{kw:>68;:>95YG@Fqmscu'Dy~nRVPaefpqg6789Usc26>0015>P@IMxbzh| Mrwa[\Yflmy~n=>?0^az8585<2\LMI|fvdp,IvseWPUjhi}zb1234Ze~494T~y<>;WEB@woqm{%FxlPY^cg`vse89:;Snw31?07?SAFL{c}i!Bst`\]Zgclzi<=>?_b{?5;Yu|;;0ZJOKrhtfv*Kt}kURSljkst`3456Xkp692?:4VFCGvlpbz&GxyoQV_`fgwpd789:Tot2=>^pw64=QOHNye{k}/LqvfZ_Ximnxyo>?01]`}959:81]KLJ}iwgq+HurjVSTmij|uc2345Ydq5>5><5YG@Fqmscu'Dy~nRWPaefpqg6789Uhu1;1259UCDBuaoy#@}zb^[\eabt}k:;<=Qly=7=[wr592\LMI|fvdp,IvseWPUjhi}zb1234Ze~4?49=6XHAEpjr`t(EziSTQndeqvf5678Vir0:0=1:TDEAtn~lx$A~{m_X]b`aurj9:;P@IMxbzh| Mrwa[\Yflmy~n=>?0^nvp959:11]KLJ}iwgq+HurjVSTmij|uc2345Yk}}682RGAV^216>P@IMxbzh| Mrwa[\Yflmy~n=>?0^nvp929:11]KLJ}iwgq+HurjVSTmij|uc2345Yk}}6?2RGAV^216>P@IMxbzh| Mrwa[\Yflmy~n=>?0^nvp909:11]KLJ}iwgq+HurjVSTmij|uc2345Yk}}6=2RGAV^216>P@IMxbzh| Mrwa[\Yflmy~n=>?0^nvp919:11]KLJ}iwgq+HurjVSTmij|uc2345Yk}}6<2RGAV^216>P@IMxbzh| Mrwa[\Yflmy~n=>?0^nvp9>9:11]KLJ}iwgq+HurjVSTmij|uc2345Yk}}632RGAV^216>P@IMxbzh| Mrwa[\Yflmy~n=>?0^nvp9?9:11]KLJ}iwgq+HurjVSTmij|uc2345Yk}}622RGAV^216>P@IMxbzh| Mrwa[\Yflmy~n=>?0^zlv969:;1]KLJ}iwgq+HurjVSTmij|uc2345Yg{6:2?<4VFCGvlpbz&GxyoQV_`fgwpd789:Ttb|32?01?SAFL{c}i!Bst`\]Zgclzi<=>?_ymq8685:2\LMI|fvdp,IvseWPUjhi}zb1234Z~hz5>5>?5YG@Fqmscu'Dy~nRWPaefpqg6789Usc2:>308RBGCz`|n~"C|uc]Z[dbc{|h;<=>Pxnp?2;453_MJHgyes-NwpdXQVkoh~{m0123[}iu4>49>6XHAEpjr`t(EziSTQndeqvf5678Vrd~161239UCDBuaoy#@}zb^[\eabt}k:;<=Qwos>::f=QOHNye{k}/O0`?SAFL{c}i!A7b9UCDBuaoy#C7>0:TDEAtn~lx$^JL34?33?SAFL{c}i!]GC>4:c=QOHNye{k}/SEA[1`<^NKO~dxjr.PDFZ16;2\LMI|fvdp,V`urd}6;2<:4VFCGvlpbz&Xnxb{<1<256=QOHNye{k}/Sgpqir;97;?7[INDskuaw)Umzgx1?11018RBGCz`|n~"\jstnw8786<2\LMI|fvdp,V`urd}692068RBGCz`|n~"\jstnw81869:1]KLJ}iwgq+Wct}e~793?;;WEB@woqm{%Yi~{ct=7=545<^NKO~dxjr.Pfwpjs4?4:86XHAEpjr`t(Zly~`y29>030?SAFL{c}i!]erwop9199=1]KLJ}iwgq+Wct}e~7;3?>3:TDEAtn~lx$^h}zlu>;:42<^NKO~dxjr.Pfwpjs414:=>5YG@Fqmscu'[oxyaz39?37?SAFL{c}i!]erwop9?99j1]KLJ}iwgq+SU6<2\LMI|fvdp,RVYffm:;<=?7;WEB@woqm{%]_Road1234ZUP8;90ZJOKrhtfv*PTWhdo<=>?_RU3+ISS;VFTNXH>a:TDEAtn~lx$Z^Qnne2345YT_9%Dn6XHAEpjr`t(_8>0ZJOKrhtfv*QXff~;<=?>e:TDEAtn~lx$T1>1e:TDEAtn~lx$T1?1e:TDEAtn~lx$T1<1e:TDEAtn~lx$T1=1e:TDEAtn~lx$T1:1e:TDEAtn~lx$T1;1e:TDEAtn~lx$T181e:TDEAtn~lx$T191e:TDEAtn~lx$T161e:TDEAtn~lx$T171d:TDEAtn~lx$TR>k;WEB@woqm{%SSi5YG@Fqmscu'QU8h6XHAEpjr`t(PV>o7[INDskuaw)_Wm1]KLJ}iwgq+]Y0l2\LMI|fvdp,\Z>c3_MJHgyes-[[<713_MJHgyes-[[dbc89:;0=0>6:TDEAtn~lx$TRokd12349799?1]KLJ}iwgq+]Yflm:;<=2=>048RBGCz`|n~"VPaef3456;;7;=7[INDskuaw)_Whno<=>?<5<22>P@IMxbzh| X^cg`56785?5=;5YG@Fqmscu'QUjhi>?01>5:40<^NKO~dxjr.Z\eab789:7;3?9;WEB@woqm{%SSljk01238=86>2\LMI|fvdp,\Zgcl9:;<171189UCDBuaoy#UQndeqvf56785>5=45YG@Fqmscu'QUjhi}zb1234939901]KLJ}iwgq+]Yflmy~n=>?0=4=5<=QOHNye{k}/Y]b`aurj9:;<191189UCDBuaoy#UQndeqvf5678525=45YG@Fqmscu'QUjhi}zb12349?99?1]KLJ}iwgq+]Yffm:;<=?>1338RBGCz`|n~"VPaof3456698%GYY=PL^@VB4><^NKO~dxjr.Z\ekb789::=>3702?SAFL{c}i!W_`lg45679:<$@XZ;_E]AQC713_MJHgyes-[[dhc89:;=8?>8:TDEAtn~lx$TRoad1234436W8;37[INDskuaw)_Whdo<=>?143\643<^NKO~dxjr.Z\ekb789:8;<74VFCGvlpbz&RTmcj?01203ZUP8;>0ZJOKrhtfv*^Xign;<=><7^QT4*JR\=UGSO[I1c9UCDBuaoy#UQnne234550WZ];#B?6;WEB@woqm{%SSl`k012317[INDskuaw)_Whdo<=>?643:?SAFL{c}i!W_`lg4567>P@IMxbzh| X^cm`567809:56XHAEpjr`t(PVkeh=>?08:\WR6b3_MJHgyes-Z858b3_MJHgyes-Z848b3_MJHgyes-Z878b3_MJHgyes-Z868b3_MJHgyes-Z818b3_MJHgyes-Z808b3_MJHgyes-Z838b3_MJHgyes-Z828b3_MJHgyes-Z8=8b3_MJHgyes-Z8<8c3_MJHgyes-Z[5b<^NKO~dxjr.[\5a=QOHNye{k}/X]1`>P@IMxbzh| Y^1g?SAFL{c}i!V_5f8RBGCz`|n~"WP5e9UCDBuaoy#TQ9d:TDEAtn~lx$UR9k;WEB@woqm{%RS5j4VFCGvlpbz&ST5<84VFCGvlpbz&STmij?012?4;713_MJHgyes-Z[dbc89:;0<0>6:TDEAtn~lx$URokd12349499?1]KLJ}iwgq+\Yflm:;<=2<>048RBGCz`|n~"WPaef3456;<7;=7[INDskuaw)^Whno<=>?<4<22>P@IMxbzh| Y^cg`56785<5=;5YG@Fqmscu'PUjhi>?01>4:40<^NKO~dxjr.[\eab789:743?9;WEB@woqm{%RSljk01238<8612\LMI|fvdp,]Zgclzi<=>?<1<2=>P@IMxbzh| Y^cg`vse89:;0<0>9:TDEAtn~lx$URokdrwa45674;4:56XHAEpjr`t(QVkoh~{m0123868612\LMI|fvdp,]Zgclzi<=>?<5<2=>P@IMxbzh| Y^cg`vse89:;080>9:TDEAtn~lx$URokdrwa45674?4:56XHAEpjr`t(QVkoh~{m0123828612\LMI|fvdp,]Zgclzi<=>?<9<2=>P@IMxbzh| Y^cg`vse89:;040>2:TDEAtn~lx$db|fz=2=57=QOHNye{k}/imqm:66880ZJOKrhtfv*nhz`p7>3?=;WEB@woqm{%ccgu<2<26>P@IMxbzh| hnpj~9299;1]KLJ}iwgq+miuas6>2<<4VFCGvlpbz&bd~dt36?31?SAFL{c}i!gosky8286:2\LMI|fvdp,ljtnr525=?5YG@Fqmscu'aeyew26>038RBGCz`|n~"f`rhx\447<^NKO~dxjr.jlvl|X98;0ZJOKrhtfv*nhz`pT>>038RBGCz`|n~"xjru>1:47<^NKO~dxjr.tfvq:468;0ZJOKrhtfv*pbz}6?2038RBGCz`|n~"xjru>5:47<^NKO~dxjr.tfvq:068;0ZJOKrhtfv*pbz}632028RBGCz`|n~"xjru]355=QOHNye{k}/wgqpZ7682\LMI|fvdp,r`tsW;;;7[INDskuaw)qm{~T?<>4VFCGvlpbz&|n~yQ;119UCDBuaoy#{k}t^724>P@IMxbzh| vdpw[3773_MJHgyes-uawrX?8:0ZJOKrhtfv*pbz}U3==5YG@Fqmscu'oyxR7>9:TDEAtn~lx$zh|{_bos[`w789::m6XHAEpjr`t(~lxSnc_ds345669l1]KLJ}iwgq+scu|Vif|Rk~01235ZUP8;30ZJOKrhtfv*pbz}Uha}Qjq12344YT_9%GYY?00]PS5)H9m1]KLJ}iwgq+scu|Vif|Rk~0123[VQ7:11]KLJ}iwgq+scu|Vif|Rk~0123[VQ7'E__?RBPBTD2b>P@IMxbzh| vdpw[fkwWl{;<=>PSV2,K4?<^NKO~dxjr.tfvqYdeyUn}=>?10`8RBGCz`|n~"xjru]`iuYby9:;=b:TDEAtn~lx$zh|{_bos[`w789;9>?84VFCGvlpbz&|n~yQlmq]fu5679;8$@XZ;_M]AQC7c3_MJHgyes-uawrXkdzTi|>?0001+J7e3_MJHgyes-uawrXkdzTi|>?0015=>PNM^U_U]K=;WQ4?SUXGK_M=i5WIMKM\(^CJ):%=-][UC"3*4&F[JCB?6V@R49[KWE^>2RXXAGM7:ZPPZAIL>1S_YQYCB48\adXAmh0TifPPsknMa~e3QncS]|fmHlug>^c`VZye`Zvpdd8\anXX{cfZh||inl24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf:1Sy:4ZDPL<>oiznh78364iopdf919?2ce~jlP469jjwaeW>n0ec|hb^cm`56788:0ec|hb^cm`567888?==5fnsea[dhc89:;=99i;hlqcgYffm:;<=?:119jjwaeWhdo<=>?17025>oiznhTmcj?01222776;2ce~jlPaof34566>;Um9<>4iopdfZgil9:;>109jjwaeWhdo<=>?213256=nf{miSl`k0123657Xn<;;7d`}gc]bja67898:5k5fnsea[dhc89:;?8h4iopdfZgil9:;<8;i;hlqcgYffm:;<=8:f:kmvbdXign;<=>81028mkt`jVg~t=>?1=1=54=nf{miS`{w01228686<2gjec64nffaqljc12y{mznn2g;?}okagr~b<64xml{[dssgV:Ttil crv-|gecuIJ{;5h4@Az11<d3=9:995lk5570:k4383;0b?:>:79'66d=::?0q^d3=9:995lk55776?V1c2;?j6<4>320:e`<2><20_?j524c95?74;;3ji7;95`9g60?=83;1=v]=e;076?g22898>4oj:441=>"5938>n6F<2:tW7=<7280:6<>n{R0f>7252h?1=>==9`g9134>3-88>76?;W00b?4|}0:1=6{61;28y!gf2880(>l50:&0=?42l2.8m78>;c06=?6=9:0:=o4>08yKg`=#kj09945U238141=9?0ve?o50;9l16<722e9?>4?::ma=?6=,hi1n55aac83?>ie?3:1(lm5b99meg<632ei:7>5$`a9f==iik0976am5;29 de=j11emo4<;:ma0?6=,hi1n55aac87?>ie;3:1(lm5b99meg<232ei>7>5$`a9f==iik0=76am1;29 de=j11emo48;:m46?6=,hi1;<5aac83?>i083:1(lm5709meg<632e=j7>5$`a934=iik0976a9e;29 de=?81emo4<;:m5`?6=,hi1;<5aac87?>i1k3:1(lm5709meg<232e=n7>5$`a934=iik0=76a9a;29 de=?81emo48;:`17<<7280;6=u+cb865>N5;11Coh5+22g9615<,>i1>8m4o5a94?"5;l0j?65rb31b>5<6290;w)ml:bd8L75?3Ain7)<Ndm2.>j7<4$31f>3><,>i1>8m4i3d94?"5;l0>n65f4b83>!44m38j76ane;29 75b2h907d;?:18'66c=:>10elj50;&17`21b>n4?:%00a?e432wi>9950;494?6|,ji1:45G22:8Lfc<,6*=3d85<>"0k38>o6g=f;29 75b25$31f>f0<3`8h6=4+22g9g6=53;294~"dk388;6F=399Kg`=#::o1>>84$6a960e=n?k0;6)<if83:1(?=j:`18?xd69;0;6>4?:1y'gf<5;>1C>>64Hbg8 75b2;9=7)9l:37`?l4?290/>>k55c98m2d=83.9?h4:b:9le5<72-88i7o<;:a550=83>1<7>t$ba9<`=O::20Dnk4$31f>=b<,>i1>8m4i3:94?"5;l0>h65f3083>!44m3?h76gl4;29 75b2j?07bo?:18'66c=i:10qo?>1;297?6=8r.ho7<<7:J17==Okl1/>>k52248 2e=:5$31f>d5<3th:<94?:583>5}#kj03i6F=399Kg`=#::o14i5+7b811f=n:10;6)<o493:1(?=j:4a8?le3290/>>k5c498kd6=83.9?h4n3:9~f47729086=4?{%a`>7503A8846Fle:&17`<5;?1/;n4=5b9j6=<72-88i7;m;:k4f?6=,;9n68l4;nc3>5<#::o1m>54}c336?6=<3:1"0k38>o6g=8;29 75b2:18'66c==j10en:50;&17`>94H31;?Meb3-88i7<<6:&4g?42k2c947>5$31f>0d<3`=i6=4+22g91g==zj8:;6=4;:183!ed21o0D?=7;Iaf?!44m32o7)9l:37`?l4?290/>>k55e98m67=83.9?h4:c:9jg1<72-88i7m:;:mb4?6=,;9n6l=4;|`24`<72:0;6=u+cb8172=O::20Dnk4$31f>7513-=h6?;l;h0;>5<#::o19o54i6`94?"5;l0>n65`a183>!44m3k876smfd83>1<729q/on47e:J17==Okl1/>>k58e9'3f<5=j1b>54?:%00a?3c32c8=7>5$31f>0e<3`i?6=4+22g9g0==zj8:o6=4<:183!ed2;9<7E<<8:J`a>"5;l09?;5+7b811f=n:10;6)<o0j3:1(?=j:4`8?jg7290/>>k5a298yg`d290?6=4?{%a`>=c<@;937Emj;%00a?>c3-=h6?;l;h0;>5<#::o19i54i2394?"5;l0>o65fc583>!44m3i>76an0;29 75b2h907pl>0b83>6<729q/on4=369K66><@jo0(?=j:315?!1d2;?h7d<7:18'66c==k10e:l50;&17`<2j21dm=4?:%00a?g432wijl4?:583>5}#kj03i6F=399Kg`=#::o14i5+7b811f=n:10;6)<o493:1(?=j:4a8?le3290/>>k5c498kd6=83.9?h4n3:9~f46e29086=4?{%a`>7503A8846Fle:&17`<5;?1/;n4=5b9j6=<72-88i7;m;:k4f?6=,;9n68l4;nc3>5<#::o1m>54}cd;>5<3290;w)ml:9g8L75?3Ain7)<?50;&17`<2k21bo94?:%00a?e232ej<7>5$31f>d5<3th:5}#kj09?:5G22:8Lfc<,;9n6?=9;%5`>73d3`836=4+22g91g=h1<7*=3d86f>=hi90;6)<{en>0;694?:1y'gf5<#::o1o854o`294?"5;l0j?65rbg494?5=83:p(nm52258L75?3Ain7)<"0k38>o6g=8;29 75b250;&17`>94H31;?Meb3-88i7<<6:&4g?42k2c947>5$31f>0d<3`=i6=4+22g91g==zjlk1<7:50;2x fe=0l1C>>64Hbg8 75b21n0(:m524a8m7>=83.9?h4:d:9j74<72-88i7;l;:k`0?6=,;9n6n;4;nc3>5<#::o1m>54}cd7>5<4290;w)ml:314?M4402Bhi6*=3d8173=#?j099n5f2983>!44m3?i76g8b;29 75b25<7s-ih65k4H31;?Meb3-88i76k;%5`>73d3`836=4+22g91a==nk=0;6)<if83:1(?=j:`18?xda;3:1?7>50z&`g?44?2B9?55Gcd9'66c=::<0(:m524a8m7>=83.9?h4:b:9j3g<72-88i7;m;:mb4?6=,;9n6l=4;|`f"0k38>o6g=8;29 75b2:18'66c==j10en:50;&17`5}#kj09?:5G22:8Lfc<,;9n6?=9;%5`>73d3`836=4+22g91g=h1<7*=3d86f>=hi90;6)<{em>0;694?:1y'gf5<#::o1o854o`294?"5;l0j?65rbg394?5=83:p(nm52258L75?3Ain7)<"0k38>o6g=8;29 75b250;&17`=n;80;6)<od<3:1(?=j:b78?jg7290/>>k5a298yg`729086=4?{%a`>7503A8846Fle:&17`<5;?1/;n4=5b9j6=<72-88i7;m;:k4f?6=,;9n68l4;nc3>5<#::o1m>54}cg7>5<3290;w)ml:9g8L75?3Ain7)<?50;&17`<2k21bo94?:%00a?e232ej<7>5$31f>d5<3thnj7>53;294~"dk388;6F=399Kg`=#::o1>>84$6a960e=n?k0;6)<if83:1(?=j:`18?xdb:3:187>50z&`g?>b3A8846Fle:&17`5<#::o19n54ib694?"5;l0h965`a183>!44m3k876smed83>6<729q/on4=369K66><@jo0(?=j:315?!1d2;?h7d<7:18'66c==k10e:l50;&17`<2j21dm=4?:%00a?g432wii=4?:583>5}#kj03i6F=399Kg`=#::o14i5+7b811f=n:10;6)<o493:1(?=j:4a8?le3290/>>k5c498kd6=83.9?h4n3:9~f`b=8391<7>t$ba9661<@;937Emj;%00a?44>2.5<#::o19o54o`294?"5;l0j?65rbed94?2=83:p(nm58d9K66><@jo0(?=j:9f8 2e=:5$31f>f3<3fk;6=4+22g9e6=53;294~"dk388;6F=399Kg`=#::o1>>84$6a960e=n?k0;6)<if83:1(?=j:`18?xd64?:1y'gf<5;>1C>>64Hbg8 75b2;9=7)9l:37`?l4?290/>>k55c98m2d=83.9?h4:b:9le5<72-88i7o<;:a517=83>1<7>t$ba9<`=O::20Dnk4$31f>=b<,>i1>8m4i3:94?"5;l0>h65f3083>!44m3?h76gl4;29 75b2j?07bo?:18'66c=i:10qo?;c;297?6=8r.ho7<<7:J17==Okl1/>>k52248 2e=:5$31f>d5<3th:?k4?:583>5}#kj03i6F=399Kg`=#::o14i5+7b811f=n:10;6)<o493:1(?=j:4a8?le3290/>>k5c498kd6=83.9?h4n3:9~f42e29086=4?{%a`>7503A8846Fle:&17`<5;?1/;n4=5b9j6=<72-88i7;m;:k4f?6=,;9n68l4;nc3>5<#::o1m>54}c30`?6=<3:1"0k38>o6g=8;29 75b2:18'66c==j10en:50;&17`>94H31;?Meb3-88i7<<6:&4g?42k2c947>5$31f>0d<3`=i6=4+22g91g==zj89i6=4;:183!ed21o0D?=7;Iaf?!44m32o7)9l:37`?l4?290/>>k55e98m67=83.9?h4:c:9jg1<72-88i7m:;:mb4?6=,;9n6l=4;|`20<<72:0;6=u+cb8172=O::20Dnk4$31f>7513-=h6?;l;h0;>5<#::o19o54i6`94?"5;l0>n65`a183>!44m3k876sm12;94?2=83:p(nm58d9K66><@jo0(?=j:9f8 2e=:5$31f>f3<3fk;6=4+22g9e6=53;294~"dk388;6F=399Kg`=#::o1>>84$6a960e=n?k0;6)<if83:1(?=j:`18?xd6;>0;694?:1y'gf5<#::o1o854o`294?"5;l0j?65rb064>5<4290;w)ml:314?M4402Bhi6*=3d8173=#?j099n5f2983>!44m3?i76g8b;29 75b2Ndm2.9?h47d:&4g?42k2c947>5$31f>0b<3`9:6=4+22g91f=1<7*=3d8`1>=hi90;6)<{e9=<1<7=50;2x fe=::=0D?=7;Iaf?!44m388:6*8c;06g>o503:1(?=j:4`8?l1e290/>>k55c98kd6=83.9?h4n3:9~f454290?6=4?{%a`>=c<@;937Emj;%00a?>c3-=h6?;l;h0;>5<#::o19i54i2394?"5;l0>o65fc583>!44m3i>76an0;29 75b2h907pl>4483>6<729q/on4=369K66><@jo0(?=j:315?!1d2;?h7d<7:18'66c==k10e:l50;&17`<2j21dm=4?:%00a?g432wi=><50;694?6|,ji14h5G22:8Lfc<,;9n65j4$6a960e=n;80;6)<od<3:1(?=j:b78?jg7290/>>k5a298yg7483:1?7>50z&`g?44?2B9?55Gcd9'66c=::<0(:m524a8m7>=83.9?h4:b:9j3g<72-88i7;m;:mb4?6=,;9n6l=4;|`26c<72:0;6=u+cb8172=O::20Dnk4$31f>7513-=h6?;l;h0;>5<#::o19o54i6`94?"5;l0>n65`a183>!44m3k876sm13194?2=83:p(nm58d9K66><@jo0(?=j:9f8 2e=:5$31f>f3<3fk;6=4+22g9e6=53;294~"dk388;6F=399Kg`=#::o1>>84$6a960e=n?k0;6)<if83:1(?=j:`18?xd6:80;694?:1y'gf5<#::o1o854o`294?"5;l0j?65rb00g>5<4290;w)ml:314?M4402Bhi6*=3d8173=#?j099n5f2983>!44m3?i76g8b;29 75b2f;290?6=8r.ho76j;I00<>Ndm2.9?h47d:&4g?42k2c947>5$31f>0b<3`9:6=4+22g91f=1<7*=3d8`1>=hi90;6)<{e9;i1<7=50;2x fe=::=0D?=7;Iaf?!44m388:6*8c;06g>o503:1(?=j:4`8?l1e290/>>k55c98kd6=83.9?h4n3:9~f47c290?6=4?{%a`>=c<@;937Emj;%00a?>c3-=h6?;l;h0;>5<#::o19i54i2394?"5;l0>o65fc583>!44m3i>76an0;29 75b2h907pl>2c83>6<729q/on4=369K66><@jo0(?=j:315?!1d2;?h7d<7:18'66c==k10e:l50;&17`<2j21dm=4?:%00a?g432wi==n;80;6)<od<3:1(?=j:b78?jg7290/>>k5a298yg75i3:1?7>50z&`g?44?2B9?55Gcd9'66c=::<0(:m524a8m7>=83.9?h4:b:9j3g<72-88i7;m;:mb4?6=,;9n6l=4;|`25<<72=0;6=u+cb8;a>N5;11Coh5+22g9!44m3?o76g<1;29 75b250;&17`5<7s-ih6?=8;I00<>Ndm2.9?h4=379'3f<5=j1b>54?:%00a?3e32c5$31f>0d<3fk;6=4+22g9e6=54;294~"dk32n7E<<8:J`a>"5;l03h6*8c;06g>o503:1(?=j:4f8?l56290/>>k55b98mf2=83.9?h4l5:9le5<72-88i7o<;:a57>=8391<7>t$ba9661<@;937Emj;%00a?44>2.5<#::o19o54o`294?"5;l0j?65rb036>5<3290;w)ml:9g8L75?3Ain7)<?50;&17`<2k21bo94?:%00a?e232ej<7>5$31f>d5<3th:>:4?:283>5}#kj09?:5G22:8Lfc<,;9n6?=9;%5`>73d3`836=4+22g91g=h1<7*=3d86f>=hi90;6)<{e98>1<7:50;2x fe=0l1C>>64Hbg8 75b21n0(:m524a8m7>=83.9?h4:d:9j74<72-88i7;l;:k`0?6=,;9n6n;4;nc3>5<#::o1m>54}c34=?6=;3:1N5;11Coh5+22g9660<,>i1>8m4i3:94?"5;l0>n65f7c83>!44m3?i76an0;29 75b2h907pl>7983>6<729q/on4=369K66><@jo0(?=j:315?!1d2;?h7d<7:18'66c==k10e:l50;&17`<2j21dm=4?:%00a?g432wi=;=50;694?6|,ji14h5G22:8Lfc<,;9n65j4$6a960e=n;80;6)<od<3:1(?=j:b78?jg7290/>>k5a298yg70?3:1?7>50z&`g?44?2B9?55Gcd9'66c=::<0(:m524a8m7>=83.9?h4:b:9j3g<72-88i7;m;:mb4?6=,;9n6l=4;|`227<72=0;6=u+cb8;a>N5;11Coh5+22g9!44m3?o76g<1;29 75b250;&17`5<7s-ih6?=8;I00<>Ndm2.9?h4=379'3f<5=j1b>54?:%00a?3e32c5$31f>0d<3fk;6=4+22g9e6=54;294~"dk32n7E<<8:J`a>"5;l03h6*8c;06g>o503:1(?=j:4f8?l56290/>>k55b98mf2=83.9?h4l5:9le5<72-88i7o<;:a523=8391<7>t$ba9661<@;937Emj;%00a?44>2.5<#::o19o54o`294?"5;l0j?65rb07e>5<3290;w)ml:9g8L75?3Ain7)<?50;&17`<2k21bo94?:%00a?e232ej<7>5$31f>d5<3th:;94?:283>5}#kj09?:5G22:8Lfc<,;9n6?=9;%5`>73d3`836=4+22g91g=h1<7*=3d86f>=hi90;6)<{e9>64Hbg8 75b21n0(:m524a8m7>=83.9?h4:d:9j74<72-88i7;l;:k`0?6=,;9n6n;4;nc3>5<#::o1m>54}c347?6=;3:1N5;11Coh5+22g9660<,>i1>8m4i3:94?"5;l0>n65f7c83>!44m3?i76an0;29 75b2h907pl>5e83>1<729q/on47e:J17==Okl1/>>k58e9'3f<5=j1b>54?:%00a?3c32c8=7>5$31f>0e<3`i?6=4+22g9g0==zj8=96=4<:183!ed2;9<7E<<8:J`a>"5;l09?;5+7b811f=n:10;6)<o0j3:1(?=j:4`8?jg7290/>>k5a298yg72j3:187>50z&`g?>b3A8846Fle:&17`5<#::o19n54ib694?"5;l0h965`a183>!44m3k876sm16394?5=83:p(nm52258L75?3Ain7)<"0k38>o6g=8;29 75b250;&17`5<7s-ih65k4H31;?Meb3-88i76k;%5`>73d3`836=4+22g91a==nk=0;6)<if83:1(?=j:`18?xd6?90;6>4?:1y'gf<5;>1C>>64Hbg8 75b2;9=7)9l:37`?l4?290/>>k55c98m2d=83.9?h4:b:9le5<72-88i7o<;:a501=83>1<7>t$ba9<`=O::20Dnk4$31f>=b<,>i1>8m4i3:94?"5;l0>h65f3083>!44m3?h76gl4;29 75b2j?07bo?:18'66c=i:10qoNdm2.9?h4l7:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j6c<72-88i7;m;:kbb?6=,;9n6n74;ncf>5<#::o1m>54i3594?"5;l09;65fc783>!44m3i=7Emk;:k`7?6=,;9n6n=4Hbf8?le3290/>>k5c`98yg47m3:147>50z&`g?243A8846Fle:&17`j7<4Hc28 2e=:k4?:%00a?3e32cjj7>5$31f>f?<3fkn6=4+22g9e6==nk?0;6)<5$31f>f5<@jn07dm;:18'66c=kh10qoNdm2.9?h4l7:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j6c<72-88i7;m;:kbb?6=,;9n6n74;ncf>5<#::o1m>54i3594?"5;l09;65fc783>!44m3i=7Emk;:k`7?6=,;9n6n=4Hbf8?le3290/>>k5c`98yg47k3:147>50z&`g?243A8846Fle:&17`j7<4Hc28 2e=:k4?:%00a?3e32cjj7>5$31f>f?<3fkn6=4+22g9e6==nk?0;6)<5$31f>f5<@jn07dm;:18'66c=kh10qoNdm2.9?h4l7:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j6c<72-88i7;m;:kbb?6=,;9n6n74;ncf>5<#::o1m>54i3594?"5;l09;65fc783>!44m3i=7Emk;:k`7?6=,;9n6n=4Hbf8?le3290/>>k5c`98yg47i3:147>50z&`g?243A8846Fle:&17`j7<4Hc28 2e=:k4?:%00a?3e32cjj7>5$31f>f?<3fkn6=4+22g9e6==nk?0;6)<5$31f>f5<@jn07dm;:18'66c=kh10qoNdm2.9?h4l7:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j6c<72-88i7;m;:kbb?6=,;9n6n74;ncf>5<#::o1m>54i3594?"5;l09;65fc783>!44m3i=7Emk;:k`7?6=,;9n6n=4Hbf8?le3290/>>k5c`98yg4703:147>50z&`g?243A8846Fle:&17`j7<4Hc28 2e=:k4?:%00a?3e32cjj7>5$31f>f?<3fkn6=4+22g9e6==nk?0;6)<5$31f>f5<@jn07dm;:18'66c=kh10qoNdm2.9?h4l7:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j6c<72-88i7;m;:kbb?6=,;9n6n74;ncf>5<#::o1m>54i3594?"5;l09;65fc783>!44m3i=7Emk;:k`7?6=,;9n6n=4Hbf8?le3290/>>k5c`98yg47>3:147>50z&`g?243A8846Fle:&17`j7<4Hc28 2e=:k4?:%00a?3e32cjj7>5$31f>f?<3fkn6=4+22g9e6==nk?0;6)<5$31f>f5<@jn07dm;:18'66c=kh10qo<=3;29Ndm2.9?h4l7:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j62<72-88i7<8;:k1b?6=,;9n68l4;hce>5<#::o1o454o`g94?"5;l0j?65fc783>!44m3i=7Emk;:k`7?6=,;9n6n=4Hbf8?le3290/>>k5c`98yg45:3:147>50z&`g?233A8846Fle:&17`j7<4Hc28 2e=::4?:%00a?4032c9j7>5$31f>0d<3`km6=4+22g9g<==nk?0;6)<5$31f>f5<@jn07dm;:18'66c=kh10qo<=1;29Ndm2.9?h4l7:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j62<72-88i7<8;:k1b?6=,;9n68l4;hce>5<#::o1o454o`g94?"5;l0j?65fc783>!44m3i=7Emk;:k`7?6=,;9n6n=4Hbf8?le3290/>>k5c`98yg4583:147>50z&`g?233A8846Fle:&17`j7<4Hc28 2e=::4?:%00a?4032c9j7>5$31f>0d<3`km6=4+22g9g<==nk?0;6)<5$31f>f5<@jn07dm;:18'66c=kh10qo<>f;29Ndm2.9?h4l7:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j62<72-88i7<8;:k1b?6=,;9n68l4;hce>5<#::o1o454o`g94?"5;l0j?65fc783>!44m3i=7Emk;:k`7?6=,;9n6n=4Hbf8?le3290/>>k5c`98yg46m3:147>50z&`g?233A8846Fle:&17`j7<4Hc28 2e=::4?:%00a?4032c9j7>5$31f>0d<3`km6=4+22g9g<==nk?0;6)<5$31f>f5<@jn07dm;:18'66c=kh10qo<>d;29Ndm2.9?h4l7:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j62<72-88i7<8;:k1b?6=,;9n68l4;hce>5<#::o1o454o`g94?"5;l0j?65fc783>!44m3i=7Emk;:k`7?6=,;9n6n=4Hbf8?le3290/>>k5c`98yg46k3:147>50z&`g?233A8846Fle:&17`j7<4Hc28 2e=::4?:%00a?4032c9j7>5$31f>0d<3`km6=4+22g9g<==nk?0;6)<5$31f>f5<@jn07dm;:18'66c=kh10qo<>b;29Ndm2.9?h4l7:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j62<72-88i7<8;:k1b?6=,;9n68l4;hce>5<#::o1o454o`g94?"5;l0j?65fc783>!44m3i=7Emk;:k`7?6=,;9n6n=4Hbf8?le3290/>>k5c`98yg46i3:147>50z&`g?233A8846Fle:&17`j7<4Hc28 2e=::4?:%00a?4032c9j7>5$31f>0d<3`km6=4+22g9g<==nk?0;6)<5$31f>f5<@jn07dm;:18'66c=kh10qo?j7;292?6=8r.ho7:=;I00<>Ndm2.9?h4;9:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j62<72-88i7<8;:k1b?6=,;9n68l4;ncf>5<#::o1m>54i`f94?"5;l0h:65f2b83>!44m3i876sm1d494?0=83:p(nm5439K66><@jo0(?=j:5;8 0`=:2Bi<6*8c;06g>o5;3:1(?=j:3c8?l40290/>>k52698m7`=83.9?h4:b:9le`<72-88i7o<;:kb`?6=,;9n6n84;h0`>5<#::o1o>54}c3f1?6=>3:1"2n380Do>4$6a960e=n:>0;6)<o5n3:1(?=j:4`8?jgb290/>>k5a298mdb=83.9?h4l6:9j6f<72-88i7m<;:a5`2=83<1<7>t$ba907=O::20Dnk4$31f>1?<,6Fm0:&4g?42k2c9?7>5$31f>7g<3`8<6=4+22g962==hil0;6)<ofl3:1(?=j:b48?l4d290/>>k5c298yg7b;3:1:7>50z&`g?253A8846Fle:&17`<312.>j7<4Hc28 2e=::4?:%00a?4032c9j7>5$31f>0d<3fkn6=4+22g9e6==n:j0;6)<{e9l81<7850;2x fe=<;1C>>64Hbg8 75b2=30(8h52:Ja4>"0k38>o6g=3;29 75b2;k07d<8:18'66c=:>10e?h50;&17`<2j21dmh4?:%00a?g432cjh7>5$31f>f0<3`8h6=4+22g9g6=56;294~"dk3>97E<<8:J`a>"5;l0?56*:f;08Lg6<,>i1>8m4i3194?"5;l09m65f2683>!44m38<76g=f;29 75b221b>n4?:%00a?e432wi=h>50;494?6|,ji18?5G22:8Lfc<,;9n6974$4d96>Ne82.5<#::o1>:54i3d94?"5;l0>n65`ad83>!44m3k876gnd;29 75b2j<07dNdm2.9?h4;9:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j62<72-88i7<8;:k1b?6=,;9n68l4;ncf>5<#::o1m>54i`f94?"5;l0h:65f2b83>!44m3i876sm1eg94?0=83:p(nm5439K66><@jo0(?=j:5;8 0`=:2Bi<6*8c;06g>o5;3:1(?=j:3c8?l40290/>>k52698m7`=83.9?h4:b:9le`<72-88i7o<;:kb`?6=,;9n6n84;h0`>5<#::o1o>54}c3bb?6=>3:1"2n380Do>4$6a960e=n:>0;6)<o5n3:1(?=j:4`8?jgb290/>>k5a298mdb=83.9?h4l6:9j6f<72-88i7m<;:a5dc=83<1<7>t$ba907=O::20Dnk4$31f>1?<,6Fm0:&4g?42k2c9?7>5$31f>7g<3`8<6=4+22g962==hil0;6)<ofl3:1(?=j:b48?l4d290/>>k5c298yg7fl3:1:7>50z&`g?253A8846Fle:&17`<312.>j7<4Hc28 2e=::4?:%00a?4032c9j7>5$31f>0d<3fkn6=4+22g9e6==n:j0;6)<{e9hi1<7850;2x fe=<;1C>>64Hbg8 75b2=30(8h52:Ja4>"0k38>o6g=3;29 75b2;k07d<8:18'66c=:>10e?h50;&17`<2j21dmh4?:%00a?g432cjh7>5$31f>f0<3`8h6=4+22g9g6=56;294~"dk3>97E<<8:J`a>"5;l0?56*:f;08Lg6<,>i1>8m4i3194?"5;l09m65f2683>!44m38<76g=f;29 75b221b>n4?:%00a?e432wi=lo50;494?6|,ji18?5G22:8Lfc<,;9n6974$4d96>Ne82.5<#::o1>:54i3d94?"5;l0>n65`ad83>!44m3k876gnd;29 75b2j<07dNdm2.9?h4;9:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j62<72-88i7<8;:k1b?6=,;9n68l4;ncf>5<#::o1m>54i`f94?"5;l0h:65f2b83>!44m3i876sm1`:94?0=83:p(nm5439K66><@jo0(?=j:5;8 0`=:2Bi<6*8c;06g>o5;3:1(?=j:3c8?l40290/>>k52698m7`=83.9?h4:b:9le`<72-88i7o<;:kb`?6=,;9n6n84;h0`>5<#::o1o>54}c3b3?6=>3:1"2n380Do>4$6a960e=n:>0;6)<o5n3:1(?=j:4`8?jgb290/>>k5a298mdb=83.9?h4l6:9j6f<72-88i7m<;:a5d0=83<1<7>t$ba907=O::20Dnk4$31f>1?<,6Fm0:&4g?42k2c9?7>5$31f>7g<3`8<6=4+22g962==hil0;6)<ofl3:1(?=j:b48?l4d290/>>k5c298yg7>=3:147>50z&`g?243A8846Fle:&17`j7<4Hc28 2e=:k4?:%00a?3e32cjj7>5$31f>f?<3fkn6=4+22g9e6==nk?0;6)<5$31f>f5<@jn07dm;:18'66c=kh10qo?8f;292?6=8r.ho7:>;I00<>Ndm2.9?h4;9:&6b?4<@k:0(:m524a8m75=83.9?h4=a:9j6c<72-88i7;m;:mba?6=,;9n6l=4;h04>5<#::o1>:54i`f94?"5;l0h:65f2b83>!44m3i876smd183>=<729q/on4;3:J17==Okl1/>>k5c69'1c<53Ah;7)9l:37`?l44290/>>k52`98m7`=83.9?h4:b:9jec<72-88i7m6;:mba?6=,;9n6l=4;h04>5<#::o1>:54ib494?"5;l0h:6Fld:9jg6<72-88i7m<;Iag?>od<3:1(?=j:bc8?xd61h0;654?:1y'gf<3;2B9?55Gcd9'66c=k>1/9k4=;I`3?!1d2;?h7d<<:18'66c=:h10e?h50;&17`<2j21bmk4?:%00a?e>32eji7>5$31f>d5<3`8<6=4+22g962=Ndl21bo>4?:%00a?e43Aio76gl4;29 75b2jk07pl=4c83>0<729q/on476:J17==Okl1/>>k5c39'3f<5=j1/9k4k;h75>5<#::o1=n5a22a94>=n=>0;6)<>m52:9j1<<72-88i7?l;o00g?5<3fk;6=4+22g9e6=?7>55;294~"dk32=7E<<8:J`a>"5;l0h>6*8c;06g>"2n390e8850;&17`<6k2d9?n4?;:k63?6=,;9n64=h5;j0976g:9;29 75b28i0b?=l:298kd6=83.9?h4n3:9~f733290>6=4?{%a`>=0<@;937Emj;%00a?e53-=h6?;l;%7e>1gh5;j0;76g:7;29 75b28i0b?=l:098m0>=83.9?h4>c:l17f<532c>57>5$31f>4e54o`294?"5;l0j?65rb376>5<2290;w)ml:948L75?3Ain7)<!44m3;h7c<290/>>k51b9m66e=;21dm=4?:%00a?g432wi>8850;794?6|,ji14;5G22:8Lfc<,;9n6n<4$6a960e<,!44m3;h7c<>k51b9m66e=921b954?:%00a?7d3g88o7<4;h7:>5<#::o1=n5a22a97>=hi90;6)<{e:=31<7;50;2x fe=0?1C>>64Hbg8 75b2j80(:m524a8 0`=l2c>:7>5$31f>4eo203:1(?=j:0a8j75d2;10e8750;&17`<6k2d9?n4<;:mb4?6=,;9n6l=4;|`10d<72<0;6=u+cb8;2>N5;11Coh5+22g9g7=#?j099n5+5g8b?l31290/>>k51b9m66e=821b9:4?:%00a?7d3g88o7?4;h7;>5<#::o1=n5a22a96>=n=00;6)<Ndm2.9?h4l2:&4g?42k2.>j774i4494?"5;l0:o6`=3b83?>o2?3:1(?=j:0a8j75d2810e8650;&17`<6k2d9?n4=;:mb4?6=,;9n6l=4;|`217<72=0;6=u+cb8;0>N5;11Coh5+22g9g7=#?j099n5+5g82f>o2>3:1(?=j:0a8j75d2910e8950;&17`<6k2d9?n4>;:k67==zj8?=6=4::183!ed21<0D?=7;Iaf?!44m3i97)9l:37`?!3a2;<0e8850;&17`<6k2d9?n4?;:k63?6=,;9n64=h5;j0976g:9;29 75b28i0b?=l:298kd6=83.9?h4n3:9~f43629086=4?{%a`>=4<@;937Emj;%00a?e53-=h6?;l;%7e>4>h5;j0;76g:7;29 75b28i0b?=l:098kd6=83.9?h4n3:9~f743290>6=4?{%a`>=0<@;937Emj;%00a?e53-=h6?;l;%7e>2=n=?0;6)<>m51:9j1=<72-88i7?l;o00g?4<3`?26=4+22g95f=i::i1?65`a183>!44m3k876sm18494?3=83:p(nm5879K66><@jo0(?=j:b08 2e=:5=h5;j0:76g:8;29 75b28i0b?=l:398m0?=83.9?h4>c:l17f<432ej<7>5$31f>d5<3th9>i4?:483>5}#kj03:6F=399Kg`=#::o1o?5+7b811f=#=o0?7d;9:18'66c=9j1e>>m50:9j12<72-88i7?l;o00g?7<3`?36=4+22g95f=i::i1>65f5883>!44m3;h7c<>k5a298yg72<3:187>50z&`g?>33A8846Fle:&17`3`?=6=4+22g95f=i::i1<65f5683>!44m3;h7c<>k51b9m66e=:21dm=4?:%00a?g432wih;4?:483>5}#kj03:6F=399Kg`=#::o1o?5+7b811f=#=o0:=6g:6;29 75b28i0b?=l:198m01=83.9?h4>c:l17f<632c>47>5$31f>4eif83:1(?=j:`18?xd5:<0;684?:1y'gf2B9?55Gcd9'66c=k;1/;n4=5b9'1c<43`?=6=4+22g95f=i::i1<65f5683>!44m3;h7c<>k51b9m66e=:21b944?:%00a?7d3g88o7=4;nc3>5<#::o1m>54}c361?6=<3:1"0k38>o6*:f;65?l31290/>>k51b9m66e=821b9:4?:%00a?7d3g88o7?4;h7;>5<#::o1=n5a22a96>=hi90;6)<{e9j91<7;50;2x fe=0?1C>>64Hbg8 75b2j80(:m524a8 0`=m2c>:7>5$31f>4eo203:1(?=j:0a8j75d2;10e8750;&17`<6k2d9?n4<;:mb4?6=,;9n6l=4;|`60?6=:3:1N1:2.=87o<;%5`>73d3`?>6=4+22g91g==zj;9?6=4=:183!ed2h;0D?=7;Iaf?!44m3;n7E8=;%47>d5<,>i1>8m4i4794?"5;l0>n65`a183>!44m3k876smc083>7<729q/on4n1:J17==Okl1/>>k51d9K27=#>=0j?6*8c;06g>o2=3:1(?=j:4`8?jg7290/>>k5a298yge729096=4?{%a`>d7<@;937Emj;%00a?7b3A<97)8;:`18 2e=:5}#kj0j=6F=399Kg`=#::o1=h5G639'215<#::o1m>54}c`f>5<5290;w)ml:`38L75?3Ain7)<=hi90;6)<{ejm0;6?4?:1y'gf"0k38>o6g:5;29 75b25<7s-ih6l?4H31;?Meb3-88i7?j;I41?!032h90(:m524a8m03=83.9?h4:b:9le5<72-88i7o<;:afg<72;0;6=u+cb8b5>N5;11Coh5+22g95`=O>;1/:94n3:&4g?42k2c>97>5$31f>0d<3fk;6=4+22g9e6=d5<,>i1>8m4i4794?"5;l0>n65`a183>!44m3k876sm7`83>7<729q/on4n1:J17==Okl1/>>k51d9K27=#>=0j?6*8c;06g>o2=3:1(?=j:4`8?jg7290/>>k5a298yg1>29096=4?{%a`>d7<@;937Emj;%00a?7b3A<97)8;:`18 2e=:5}#kj0j=6F=399Kg`=#::o1=h5G639'215<#::o1m>54}c54>5<5290;w)ml:`38L75?3Ain7)<=hi90;6)<{e??0;6?4?:1y'gf"0k38>o6g:5;29 75b25<7s-ih6l?4H31;?Meb3-88i7?j;I41?!032h90(:m524a8m03=83.9?h4:b:9le5<72-88i7o<;:a31<72;0;6=u+cb8b5>N5;11Coh5+22g95`=O>;1/:94n3:&4g?42k2c>97>5$31f>0d<3fk;6=4+22g9e6=d5<,>i1>8m4i4794?"5;l0>n65`a183>!44m3k876sm19294?>=83:p(nm5449K66><@jo0(?=j:b58 0`=k2.5<#::o19o54ib694?"5;l0hm65`ad83>!44m3k876g=7;29 75b2;=07dm9:18'66c=k?1Coi54ib194?"5;l0h?6Fld:9jg<<72-88i7m6;Iag?>{e99=1<7=50;2x fe=081C>>64Hbg8 75b2j80(:m524a8 0`=9h1b9;4?:%00a?7d3g88o7>4;nc3>5<#::o1m>54i4594?"5;l0:o6`=3b82?kee2910qo??5;297?6=8r.ho76>;I00<>Ndm2.9?h4l2:&4g?42k2.>j7?n;h75>5<#::o1=n5a22a94>=hi90;6)<o2?3:1(?=j:0a8j75d281eoo4?;:a555=8391<7>t$ba9<4=O::20Dnk4$31f>f4<,>i1>8m4$4d95d=n=?0;6)<;oaa>5=53;294~"dk32:7E<<8:J`a>"5;l0h>6*8c;06g>"2n3;j7d;9:18'66c=9j1e>>m50:9le5<72-88i7o<;:k63?6=,;9n64=ikk0;76smfg83>6<729q/on471:J17==Okl1/>>k5c39'3f<5=j1/9k4>a:k62?6=,;9n65==n=>0;6)<t$ba9<4=O::20Dnk4$31f>f4<,>i1>8m4$4d95d=n=?0;6)<;oaa>5=5<#::o1=n5a22a95>hdj3:07pli9;297?6=8r.ho76>;I00<>Ndm2.9?h4l2:&4g?42k2.>j7?n;h75>5<#::o1=n5a22a94>=hi90;6)<o2?3:1(?=j:0a8j75d281eoo4?;:aa0<72:0;6=u+cb8;5>N5;11Coh5+22g9g7=#?j099n5+5g82e>o2>3:1(?=j:0a8j75d2910cl>50;&17`=zjl91<7=50;2x fe=081C>>64Hbg8 75b2j80(:m524a8 0`=9h1b9;4?:%00a?7d3g88o7>4;nc3>5<#::o1m>54i4594?"5;l0:o6`=3b82?kee2910qok>:180>5<7s-ih65?4H31;?Meb3-88i7m=;%5`>73d3-?m6if83:1(?=j:`18?l30290/>>k51b9m66e=92dhn7>4;|`207<72:0;6=u+cb8;5>N5;11Coh5+22g9g7=#?j099n5+5g82e>o2>3:1(?=j:0a8j75d2910cl>50;&17`=zj8>;6=4<:183!ed21;0D?=7;Iaf?!44m3i97)9l:37`?!3a28k0e8850;&17`<6k2d9?n4?;:mb4?6=,;9n6l=4;h74>5<#::o1=n5a22a95>hdj3:07pl>3d83>6<729q/on471:J17==Okl1/>>k5c39'3f<5=j1/9k4>a:k62?6=,;9n65==n=>0;6)<=7<@;937Emj;%00a?e53-=h6?;l;%7e>4gh5;j0;76an0;29 75b2h907d;8:18'66c=9j1e>>m51:l`f?6<3th:?l4?:283>5}#kj03=6F=399Kg`=#::o1o?5+7b811f=#=o0:m6g:6;29 75b28i0b?=l:198kd6=83.9?h4n3:9j12<72-88i7?l;o00g?75<4290;w)ml:938L75?3Ain7)<c:l17f<732ej<7>5$31f>d5<3`?<6=4+22g95f=i::i1=6`lb;28?xd6;?0;6>4?:1y'gf:7>5$31f>4e!44m3;h7c<5<7s-ih65?4H31;?Meb3-88i7m=;%5`>73d3-?m6if83:1(?=j:`18?l30290/>>k51b9m66e=92dhn7>4;|`261<72:0;6=u+cb8;5>N5;11Coh5+22g9g7=#?j099n5+5g82e>o2>3:1(?=j:0a8j75d2910cl>50;&17`=zj8896=4<:183!ed21;0D?=7;Iaf?!44m3i97)9l:37`?!3a28k0e8850;&17`<6k2d9?n4?;:mb4?6=,;9n6l=4;h74>5<#::o1=n5a22a95>hdj3:07pl>2183>6<729q/on471:J17==Okl1/>>k5c39'3f<5=j1/9k4>a:k62?6=,;9n65==n=>0;6)<=7<@;937Emj;%00a?e53-=h6?;l;%7e>4gh5;j0;76an0;29 75b2h907d;8:18'66c=9j1e>>m51:l`f?6<3th:=n4?:283>5}#kj03=6F=399Kg`=#::o1o?5+7b811f=#=o0:m6g:6;29 75b28i0b?=l:198kd6=83.9?h4n3:9j12<72-88i7?l;o00g?75<4290;w)ml:938L75?3Ain7)<c:l17f<732ej<7>5$31f>d5<3`?<6=4+22g95f=i::i1=6`lb;28?xd6910;6>4?:1y'gf:7>5$31f>4e!44m3;h7c<5<7s-ih65?4H31;?Meb3-88i7m=;%5`>73d3-?m6if83:1(?=j:`18?l30290/>>k51b9m66e=92dhn7>4;|`225<72:0;6=u+cb8;5>N5;11Coh5+22g9g7=#?j099n5+5g82e>o2>3:1(?=j:0a8j75d2910cl>50;&17`=zj8?h6=4<:183!ed21;0D?=7;Iaf?!44m3i97)9l:37`?!3a28k0e8850;&17`<6k2d9?n4?;:mb4?6=,;9n6l=4;h74>5<#::o1=n5a22a95>hdj3:07pl>5`83>6<729q/on471:J17==Okl1/>>k5c39'3f<5=j1/9k4>a:k62?6=,;9n65==n=>0;6)<=7<@;937Emj;%00a?e53-=h6?;l;%7e>4gh5;j0;76an0;29 75b2h907d;8:18'66c=9j1e>>m51:l`f?6<3th:8k4?:283>5}#kj03=6F=399Kg`=#::o1o?5+7b811f=#=o0:m6g:6;29 75b28i0b?=l:198kd6=83.9?h4n3:9j12<72-88i7?l;o00g?75<4290;w)ml:938L75?3Ain7)<c:l17f<732ej<7>5$31f>d5<3`?<6=4+22g95f=i::i1=6`lb;28?xd61k0;684?:1y'gf2B9?55Gcd9'66c=k;1/;n4=5b9'1c<682c>:7>5$31f>4eo203:1(?=j:0a8j75d2;10e8750;&17`<6k2d9?n4<;:mb4?6=,;9n6l=4;|`2ga<72<0;6=u+cb8;2>N5;11Coh5+22g9g7=#?j099n5+5g802>o2>3:1(?=j:0a8j75d2910e8950;&17`<6k2d9?n4>;:k67=h5;j0876an0;29 75b2h907pl>d283>0<729q/on476:J17==Okl1/>>k5c39'3f<5=j1/9k4<;h75>5<#::o1=n5a22a94>=n=>0;6)<>m52:9j1<<72-88i7?l;o00g?5<3fk;6=4+22g9e6=4;h74>5<#::o1=n5a22a95>=n=10;6)<>m53:9le5<72-88i7o<;:a`2<72<0;6=u+cb8;2>N5;11Coh5+22g9g7=#?j099n5+5g80?l31290/>>k51b9m66e=821b9:4?:%00a?7d3g88o7?4;h7;>5<#::o1=n5a22a96>=n=00;6)<Ndm2.9?h4l2:&4g?42k2.>j7?<;h75>5<#::o1=n5a22a94>=n=>0;6)<>m52:9j1<<72-88i7?l;o00g?5<3fk;6=4+22g9e6=55;294~"dk32=7E<<8:J`a>"5;l0h>6*8c;06g>"2n3;?7d;9:18'66c=9j1e>>m50:9j12<72-88i7?l;o00g?7<3`?36=4+22g95f=i::i1>65f5883>!44m3;h7c<>k5a298yg7dm3:197>50z&`g?>13A8846Fle:&17`!44m3;h7c<>k51b9m66e=:21b944?:%00a?7d3g88o7=4;nc3>5<#::o1m>54}cf6>5<3290;w)ml:968L75?3Ain7)<c:l17f<732c>;7>5$31f>4eif83:1(?=j:`18?xd5;80;684?:1y'gf2B9?55Gcd9'66c=k;1/;n4=5b9'1c<13`?=6=4+22g95f=i::i1<65f5683>!44m3;h7c<>k51b9m66e=:21b944?:%00a?7d3g88o7=4;nc3>5<#::o1m>54}c06"0k38>o6*:f;1e?l31290/>>k51b9m66e=821b9:4?:%00a?7d3g88o7?4;h7;>5<#::o1=n5a22a96>=hi90;6)<{e9j=1<7;50;2x fe=0?1C>>64Hbg8 75b2j80(:m524a8 0`=;<1b9;4?:%00a?7d3g88o7>4;h74>5<#::o1=n5a22a95>=n=10;6)<>m53:9le5<72-88i7o<;:a5f>=83?1<7>t$ba9<3=O::20Dnk4$31f>f4<,>i1>8m4$4d970=n=?0;6)<>m51:9j1=<72-88i7?l;o00g?4<3`?26=4+22g95f=i::i1?65`a183>!44m3k876sm2c83>7<52:q/on4=0:J17==Okl1/;n4=5b9j10<722ej<7>5;c7b>5<5290;w)ml:4c8 75b2;30(;:55c9K27=#?j099n5f5483>!44m3?i76an0;29 75b2h907pl>f;296?6=8r.ho7?i;%00a?4>3-=h6?;l;h76>5<#::o19o54o`294?"5;l0j?65rs4794?4|V4=0d9e5=z{96srb02:>5<5290;w)ml:728L75?3Ain7)<50;&17`5<5290;w)ml:728L75?3Ain7)<50;&17`"0k38>o6g:5;29 75b2>j4;|`263<72;0;6=u+cb854>N5;11Coh5+22g95`=#?j099n5f5483>!44m3?i76an0;29 75b2h90D?=k;:a53`=8381<7>t$ba925=O::20Dnk4$31f>4c<,>i1>8m4i4794?"5;l0>n65`a183>!44m3k87E<36<@;937Emj;%00a?7b3-=h6?;l;h76>5<#::o19o54o`294?"5;l0j?6F=3e98yg71j3:1>7>50z&`g?073A8846Fle:&17`<6m2.5<#::o1m>5G22f8?xd6>00;6?4?:1y'gf<182B9?55Gcd9'66c=9l1/;n4=5b9j10<72-88i7;m;:mb4?6=,;9n6l=4H31g?>{e9?=1<7<50;2x fe=>91C>>64Hbg8 75b28o0(:m524a8m03=83.9?h4:b:9le5<72-88i7o<;I00`>=zj8<>6=4=:183!ed2?:0D?=7;Iaf?!44m3;n7)9l:37`?l32290/>>k55c98kd6=83.9?h4n3:J17a=<7>52;294~"dk3<;7E<<8:J`a>"5;l0:i6*8c;06g>o2=3:1(?=j:4`8?jg7290/>>k5a29K66b<3th98i4?:483>5}#kj03:6F=399Kg`=#::o1o?5+7b811f=#=o08o6g:6;29 75b28i0b?=l:198m01=83.9?h4>c:l17f<632c>47>5$31f>4eif83:1(?=j:`18?xd55<#::o1o854o`294?"5;l0j?65rb373>5<2290;w)ml:948L75?3Ain7)<!44m3;h7c<290/>>k51b9m66e=;21dm=4?:%00a?g432wi>8?50;694?6|,ji14k5G22:8Lfc<,;9n65j4$6a960e=n=>0;6)<od<3:1(?=j:b78?jg7290/>>k5a298ygbd290>6=4?{%a`>=0<@;937Emj;%00a?e53-=h6?;l;%7e>72h5;j0;76g:7;29 75b28i0b?=l:098m0>=83.9?h4>c:l17f<532c>57>5$31f>4e54o`294?"5;l0j?65rbef94?3=83:p(nm5879K66><@jo0(?=j:b08 2e=:!44m3;h7c<290/>>k51b9m66e=;21dm=4?:%00a?g432wihh4?:583>5}#kj03j6F=399Kg`=#::o14i5+7b811f=n=?0;6)<o2?3:1(?=j:4f8?le3290/>>k5c498kd6=83.9?h4n3:9~f74f29096=4?{%00a?7b3-ih6:h4Hbg8m03=83.9?h4:b:9le5<72-88i7o<;:a67?=83>1<7>t$31f>f4<@jo0D?=7;%5`>73d3-ih65;4$4d97c=n=?0;6)<>m51:9j1=<72-88i7?l;o00g?4<3fk;6=4+22g9e6=52;294~"5;l0:i6*lc;5e?Meb3`?>6=4+22g91g==zj83<6=4;:183!44m3i97Emj;I00<>"0k38>o6*lc;:6?!3a2=<0e8850;&17`<6k2d9?n4?;:k63?6=,;9n64=h5;j0976an0;29 75b2h907pl=2g83>7<729q/>>k51d9'gf<0n2Bhi6g:5;29 75b2N5;11/;n4=5b9'gfj784i4494?"5;l0:o6`=3b83?>o2?3:1(?=j:0a8j75d2810e8650;&17`<6k2d9?n4=;:k6=?6=,;9n66==zj;8<6=4=:183!44m3;n7)ml:6d8Lfc=hi90;6)<{e:;<1<7=50;2x 75b2j80Dnk4H31;?!1d2;?h7)ml:918 0`=;=1b9;4?:%00a?7d3g88o7>4;h74>5<#::o1=n5a22a95>=hi90;6)<{e9j;1<7<50;2x 75b28o0(nm57g9Kg`=n=<0;6)<if83:1(?=j:`18?xd6k90;694?:1y'66c=k;1Coh5G22:8 2e=:2c>:7>5$31f>4eo203:1(?=j:0a8j75d2;10cl>50;&17`5<7s-88i7?j;%a`>2`<@jo0e8;50;&17`<2j21dm=4?:%00a?g432wi=n:50;794?6|,;9n6n<4Hbg8L75?3-=h6?;l;%a`>=1<,!44m3;h7c<>k51b9m66e=921b954?:%00a?7d3g88o7<4;h7:>5<#::o1=n5a22a97>=hi90;6)<{e9jh1<7<50;2x 75b28o0(nm57g9Kg`=n=<0;6)<if83:1(?=j:`18?xd6kh0;684?:1y'66c=k;1Coh5G22:8 2e=::7>5$31f>4eo203:1(?=j:0a8j75d2;10e8750;&17`<6k2d9?n4<;:mb4?6=,;9n6l=4;|`2`5<72;0;6=u+22g95`=#kj05<#::o1m>54}c3`b?6==3:1Ndm2B9?55+7b811f=#kj0346*:f;64?l31290/>>k51b9m66e=821b9:4?:%00a?7d3g88o7?4;h7;>5<#::o1=n5a22a96>=n=00;6)<5<7s-88i7?j;%a`>2`<@jo0e8;50;&17`<2j21dm=4?:%00a?g432wih?4?:483>5}#::o1o?5Gcd9K66><,>i1>8m4$ba9<==#=o087d;9:18'66c=9j1e>>m50:9j12<72-88i7?l;o00g?7<3`?36=4+22g95f=i::i1>65f5883>!44m3;h7c<>k5a298yg4f290:6=4?{%00a?g>3Ain7E;j;%47>0d<,>o14n5fa883>!44m3k276sm5283>4<729q/>>k5a89Kg`=O=l1/:94n3:&4a?013-<86574n219<>hd03?;7bo6:18'66c=i010qo8n:182>5<7s-88i7o6;Iaf?M3b3-{e>k0;6<4?:1y'66c=i01Coh5G5d9'215<#::o1m454}c4`>5<6290;w)<32wi:i4?:083>5}#::o1m45Gcd9K1`=#>=0j?6*8e;c4?jg>290/>>k5a898yg0b290:6=4?{%00a?g>3Ain7E;j;%47>d5<,>o15n5`a883>!44m3k276sm6g83>4<729q/>>k5a89Kg`=O=l1/:94n3:&4a??e3fk26=4+22g9e<=:183!44m3k27Emj;I7f?!032h90(:k5a79le<<72-88i7o6;:a37<7280;6=u+22g9e<=Okl1C9h5+658b7>"0m3>o7bo6:18'66c=i010qol>:182>5<7s-88i7o6;Iaf?M3b3-:0356`<3;:8jf>==91dm44?:%00a?g>32win?4?:083>5}#::o1m45Gcd9K1`=#>=0j?6*8e;46?!042130b>=58:l`:183!44m3k27Emj;I7f?!032h90(:k53d9'26{ej=0;6<4?:1y'66c=i01Coh5G5d9'21=?290/>>k5a898ygd2290:6=4?{%00a?g>3Ain7E;j;%47>d5<,>o18k5+628;=>h4;320bn65519le<<72-88i7o6;:af3<7280;6=u+22g9e<=Okl1C9h5+658b7>"0m38>7)8<:9;8j65=02dh47;?;nc:>5<#::o1m454}c`4>5<6290;w)<479:l07?>!44m3k276smb883>4<729q/>>k5a89Kg`=O=l1/:94n3:&4a?5c3-<86574n219<>hd03?;7bo6:18'66c=i010qo<<3;295?6=8r.9?h4n9:J`a>N2m2.=87o<;%5f>31<,?91445a328;?ke?2<:0cl750;&17`{t:k0;6?kt=0;b>75<5m:1>>5216d966=:90?1>>521`4966=:9h=1>>521`:966=:9h31>>521`c966=:9hh1>>521`a966=:9hn1>>521`g966=:9hl1>>521eg966=:9ml1>>521d2966=:9l;1>>521d0966=:9l91>>521d6966=:9l?1>>521d4966=:9l=1>>5220c966=::8h1>>5220a966=::8n1>>5220g966=::8l1>>52232966=::;;1>>52230966=::;91>>52214966=::9=1>>5221:966=::931>>5221c966=::9h1>>5221a966=::9n1>>5221g966=::9l1>>52192966=::k0j<6s|5283>6}Y=:16994n0:?67?g>3ty=m7>53z\5e>;0;3k;708n:`;8yv0e2908wS8m;<57>d6<5?h1m45rs7a94?5|V?i01:;5a19>2f5<4sW50;1xZ26<5>31m=52718b=>{t?;0;6>uQ739>3d7o6;|q:6?6=;>q6>>754b9>501=;816=875309>50d=;816=8h5309>542=:116=?95299>543=;816=<95309>54?=;816=54b=;816=577=;816=?=5309>564=:116=9;5299>565=;816=>;5309>561=;816=>75309>56d=;816=>j5309>56`=;816=9?5309>`c<5027nh7<7;67<5l81?<52e5805>;b>39:70k8:2389`>=;816i44<1:?fe?5634l<6?64=02b>7><5o21?<52f`805>;ak39:70hj:23894672:;01<>=:23894632:;01<>9:23892g==<16;44:5:?496384;76?8142<3:1jv3=3`8b0>;61h09j63k0;0e?87>=38m70?:7;0;?870838370?:d;12?872m39:70?91;12?871:39:70?93;12?876<39:70?<2;12?8ba2:;01k95309~w<3=838p1i65a19>`2<212wx5;4?:3y>5f?==016=nl5a19~w<1=838p1>3?370?68;c3?xu>l3:1>v3>ce8b4>;6kl0>46s|9d83>7}:9m91m=521b6912=z{k;1<7=t^c389gg=i916n<4n9:pf7<72:qUn?52bc8b4>;e:3k27p}m3;297~Xe;27io7o?;<`0>d?6}Yj?16nk4n0:?a2?g>3tyi;7>53z\a3>;d83k;70l8:`;8yvd>2908wSl6;d6<5k31m45rse294?4|5m:1mh5255861>{tl80;6?u2d18bb>;c?3k;7p}k2;296~;c03?270j<:`28yvb12909w0j9:`289a>==11vi750;1x94>72;l01<7n:`d894?e2h:0q~jn:181870n38m70jj:`28yvbe2909w0jl:`289ac==>1vim50;0x9ab=i916hh4:6:p`c<72:q6hk4n0:?f4?4?34on6?64}rg3>5<4s4o;6l>4=d096==:mo0946s|e083>6}:m80j<63j0;a7?8cb2>h0q~k=:1808c52h:01h:5299>b5<502wxi>4?:2y>a67m;;2dd6<5l<1>552f081<>{tm<0;6>u2e48b4>;b<3i?70h?:6`8yvc12908w0k9:`289`1=:116j?4=8:pa2<72:q6i:4n0:?f5<4s4o36l>4=d;96==:n=0946s|e883>6}:m00j<63ja;0;?8`22;20q~kn:1818cf2h:01k85299~w`d=839p1ih5c59>aa<0j27no7o?;|qe3?6=;r7m;7o?;7><58:i6?64}rd;>5<4s4l36l>4=gc96==:99i1>55rsg;94?5|5o31m=52f98`0>;68k06}:nh0j<63ic;0;?877l3837p}ib;297~;aj3k;70hn:b68946d2>h0q~hl:1808`d2h:01kk5299>55c=:11vkj50;1x9cb=i916jn4l4:?24a<0j2wxjh4?:2y>b`bc556=i916==<5299>546=:11v<>>:18087793k;70??0;a7?877n3=i7p}>0383>6}:9981m=5211696==:98;1>55rs020>5<4s4;;?7o?;<336?e334;:<79m;|q241<72:q6==:5a19>550=:116=<<5299~w4622908w0??5;c3?877<3i?70?>1;5a?xu68?0;6?u21149e5=:9891>55rs024>5<4s4;;;7o?;<332?e334;:>79m;|q24=<72:q6j:4l4:?24d<0j27:<44n0:p542=839p1148b4>;69>09463>2881<>{t98<1<7=t=035>d6<58;>6n:4=00;>2d53z?2522>h0q~?>9;297~;6900j<63>1c81<>;6:k0946s|10c94?5|58;j6l>4=03:>f2<588j6:l4}r32f?6=;r7:=o4n0:?25a<5027:>n4=8:p54e=839p101<1e8b4>;69o09463>2e81<>{t98o1<7=t=03f>d6<58;o6n:4=00`>2d53z?25c<4=8:?26`<502wx=?>50;1x94472h:01h0q~?=1;297~;6:80j<63>2281<>;6:o0946s|13094?5|58896l>4=002>f2<588n6:l4}r317?6=:r7:>>4n0:?275<502wx=?:50;1x94432h:01<<<:b68944a2>h0q~?=5;297~;69=0h863>2684f>;6:?0j<6s|12394?4|589:6l>4=013>2d7>53z?2774=8:?203<502wx=>=50;1x94542h:01<=::3:894202;20q~?<4;297~;6;=0j<63>328`0>;66l>4=014>7><58>36?64}r302?6=;r7:?;4n0:?2702;201<:6:3:8yv7403:1?v3>398b4>;6;>0h863>4984f>{t9:31<7=t=01:>d6<589i6?64=06b>7>53z?27dl50;1x945e2h:01<=k:3:8942e2;20q~?3c8`0>;64=01e>7><58>h6?64}r30a?6=;r7:?h4n0:?27a418b4>;6;o0h863>4b84f>{t9=;1<7d6<58>n6?64}r376?6=;r7:8?4n0:?204h01<:;:`28yv73n3:1>v3>4g8b4>;64=07:>7><58=:6?64}r365`8b4>;6=00h863>7084f>{t9d6<58?o6?64=050>7>o7>53z?21f5g81<>;6?<0946s|14d94?5|58?m6l>4=042>7><58==6?64}r354?6=;r7::=4n0:?21c:`2894052;201<98:3:8yv71:3:1?v3>638b4>;6>:09463>7981<>{t9?91<7d6<58=26?64}r350?6=;r7:9i4l4:?236<0j27::84n0:p530=839p1<;j:b6894132>h01<88:`28yv7103:1?v3>608`0>;6??0688b4>{t9?k1<7=t=041>f2<58=<6:l4=04a>d653z?2262>h01<8i:`28yv70i3:1?v3>5786=>;6==0>463>548b4>{t9>h1<7:t=306>d6<5;8?6874=0;5>0?<58i?6874}r34g?6=:r7o:7;6;<3`5?g73ty:;i4?:5y>ff<2=27ih7;:;<34b?gb34hn68;4}r34a?6=>r7im7;:;<`a>03<5kl19852c1861>;d93?>70?70;cf?xu6080;6?u220c96c=:9=?1m=5rs0:1>5<5s48;:74?:3y>64d=:o16=985a19~w4>32909w08483>7}::8i1>k521559e5=z{82=6=4={<0351>=i91v<67:181847138m70?=a;c3?xu6000;6?u220g96c=:9=31m=5rs0:b>5<5s48;m764`=:o16=9o5a19~w4>d2909w08e83>7}::;:1>k5215`9e5=z{82n6=4={<03g?4a34;9h7o?;|q2??52g9>51e=i91v<7?:181847l38m70?=e;c3?xu6180;6?u223096c=:9=n1m=5rs0;1>5<5s48;i74?:3y>675=:o16=9k5a19~w4?32909w09483>7}:90?1mh52226910=z{83=6=4={<3:1?ga34;2:7o?;|q2=d<72:q6>9954b9>610=9e83>7}:9h=1mh5225;91<=z{83n6=4<{<3b5d?=il16>975569>61b==>1va083>6}:9hh1mh5225c91==::=n1955rs0c1>5<4s4;jo7oj;<07e?31348?i7m;;|q2e6<72;q6=lj5ad9>606==11v5<5s4;j:7d652z?2e2<5n27ni7o?;|q2f7<72;q6=l652g9>ac2;l01k>5a19~w4d32909w0?na;0e?8`62h:0q~?m5;296~;6ik09j63i2;c3?xu6j?0;6?u21`a96c=:n:0j<6s|1c594?4|58ko6?h4=g69e5=z{8h36=4={<3ba?4a34l>6l>4}r3a=?6=:r7:mk4=f:?e2?g73ty:nl4?:2y>a3a279m;<365?g73ty:nn4?:2y>a=a<adb3<0j27:9;4n0:p5f5=838p1563kc;7;?8bc2<3013:1nv3>c68b4>;6i?09;63>a6813>;6i109;63>a8813>;6ih09;63>ac813>;6ij09;63>ae813>;6il09;63>ag813>{t9j=1<7lt=0a;>d6<58nn6?94=0fe>71<58o;6?94=0g2>71<58o96?94=0g0>71<58o?6?94=0g6>71<58o=6?94=0g4>7156z?2=g<2?27:o44n0:?gg?3>34no6864=0a4>0><58i36864}r3`a?6==r7:5o4:8:?2g`?;5589>671=i91v5<4s4;n<7oj;<07f?31348>87;7;|q2`2<72:q6=h?5ad9>61d==>16>8:5569~w4b?2908w0?j2;cf?842;3?270<:4;75?xu6l00;6>u21d19e`=::<91955224691<=z{8nj6=4<{<3f0?gb348>?7;8;<061?313ty:ho4?:2y>5`3=il16>8=5579>603==01v3kn70<:5;74?842>3?<7p}>de83>6}:9l=1mh5224091==::<21955rs0g;>5<5s4;oi75a`=:o16==l5a19~w4cf2909w0?j0;0e?877k3k;7p}>ec83>7}:9l;1>k5211f9e5=z{8oh6=4={<3f6?4a34;;i7o?;|q2aa<72;q6=h=52g9>55`=i91v0;c3?xu6mo0;6?u21d796c=:98;1m=5rs0d3>5<5s4;n:75`1=:o16=<=5a19~w4`52909w0?80;c3?87713?>7p}>f283>7}:9>;1m=52f8862>{t9o>1<7d6<5oh19;5rs0d6>5<5s4;0052z?231557==?1v3k;70??3;75?xu6n00;6?u21659e5=:99?19;5rs0db>5<5s4;<47o?;<333?313ty:jo4?:3y>52?=i916=<=57c9~w4`d290=w0:4589`e==<16=?85549>`7<2>2wx=kj50;5x97602ho01h?5579>540==?16>>?5589>5f6==?16=nh5579>`7<2?2wx=kk50;:x976?2ho01?5f6==>16=no5569>5f`==116h?4:8:p5c`=832p1?>6:`g8974c2<201h;5579>54g==?16h84:6:?2g5<2027:ol4:6:?2gc<2?2wx>=>50;ax976f2ho01<;=:45894362<=01?54e==?16=8=5589>506==<16h84:8:?2gd<2127:ok4:9:p657=83hp1?>m:`g894352<201<;>:448974c2<<01<;::448947b2<<01<;<:4589a3==>16=nj5589>`=<2?27:o44:8:p654=83kp1?>l:`g894352<<01i85569>503==>16=?>5579>505==116=nj5599>`=<2>27:o44:7:?16`<212wx>==50;cx976c2ho01<;9:44894332<<01<<=:44894ec2<=01i95579>505==?16=nk5569>67c==>16=no5599~w763290iw03?370?:4;74?875<3?=70?6b;75?8b02<=01`a<2?279>h4:8:p653=832p1?>i:`g894312<=01<=>:4489a1==116=nk5579>5fb==?16=n75579>67c==?1v???:185846i3kn70?l3;7;?87203?=70?;4;76?845<3?=70?66;75?xu5980;6;u220`9e`=::;>19:52184912=:9j919452126913=:95<1s48:o7oj;<3`7?3134;8:7;9;<36g?31348957;7;<3:3?313ty9=>4?:7y>64b=il16=n=5569>56>==?16=;;5549>67?==?16=495569~w773290?w0<>e;cf?845=3?<70?7p}=1483>0}::8l1mh5212a913=:9?:19;521e1912=::;<19:5rs335>5<2s489<7oj;<30a?3134;o?7;9;<35=?323489:7;9;|q152<72??5ad9>673==116=9>5579>5a5==116=;l5549~w77?290>w0<=2;cf?845=3?=70?;2;75?87c;3?270?9d;76?xu5900;6;u22319e`=:9=l19;5217d910=::;319:5218591==:9j>19;5rs307>57oi;<017?ga348987o?;|q16f<728?p1??n:358977e2;=01??l:358977c2;=01??j:358977a2;=01?9:`d897602hl01?>7:`d8976>2hl01?>n:`d8976e2hl01?>l:`d8976c2hl01?>j:`d8976a2hl01?=>:`28yv45l3:1>v3=2e8b4>;5;80>46s|23g94?4|5;9:6884=30e>d653z\176=:::>1m=522219e<=z{;>?6=4<{<072?gb34nh6894=ef913=z{;>>6=4={<073?gb34;3<7m;;|q10=<72;q6>9952g9>604=i91v?:6:18184313k;70<;a;7:?xu55<5s48?n7o?;<062?313ty98n4?:3y>61c=i916>8>5589~w72c2909w0<;d;c3?843m3?=7p}=4g83>6}::<819;5224:913=::<;1m=5rs373>5<5s48><7o?;<065?313ty99>4?:3y>605=i916>885599~w7332909w0<:4;c3?842=3?37p}=5483>7}::>7;8;<06610=:o16>865a19~w74e2909w0<=9;c3?845i3?>7p}>9883>7}:90=1m=5218:910=z{;9;6=4={<01a?g73489j7;:;|q16=<72;q6>?85a19>671==<1v5<5s4;hj7o?;<3g4?323tyo87>52z?g6?g734n868;4}|l63f<72;qCoh5Fa88244}0m3wvb86?:182Meb3@k26o1qp`:8383>4}Okl1Bm44>{38~^1d=9r=n6psa59194?7|@jo0El751z09y_2e28q5<6sAin7Do6:0y1>x\3j3;p;h4r}o7;1?6=9rBhi6Gn9;3x6?{]51zJ`a>{i=1n1<7?tHbg8yk3?m3:1=vFle:m1=`=83;pDnk4}o7:4?6=9rBhi6sa58394?7|@jo0qc;62;295~Ndm2Cj57?t2;Y0g<3s>o1:>4<3;a;>x{i=091<7?tHbg8Md?=9r81qW:m:5y4a?042:91o54r}o7:0?6=9rBhi6Gn9;3x6?{]1}0m3<86>=5c98~yk3>>3:1=vFle:Kb=?7|:3wQ8o4;{6g926<4;3i36psa58594?7|@jo0El751z09y_2e2=q51zJ`a>Of13;p>7sU4c872c=>:08?7m7:|m1i69u8e;40>65=k10vqc;6a;295~Ndm2we94l50;3xLfc51zJ`a>{i=0n1<7?tHbg8yk3>m3:1=vFle:m1<`=83;pDnk4}o7b4?6=9rBhi6sa5`394?7|@jo0qc;n2;295~Ndm2we9l=50;3xLfc51zJ`a>{i=h?1<7?tHbg8yk3f>3:1=vFle:m1d1=83;pDnk4}o7b51zJ`a>{i=hn1<7?tHbg8yk3fm3:1=vFle:m1d`=83;pDnk4}o7a4?6=9rBhi6sa5c394?7|@jo0qc;m2;295~Ndm2we9o=50;3xLfc51zJ`a>{i=k?1<7?tHbg8yk3e>3:1=vFle:m1g1=83;pDnk4}o7a51zJ`a>{i=kn1<7?tHbg8yk3em3:1=vFle:m1g`=83;pDnk4}o7`4?6=9rBhi6sa5b394?7|@jo0qc;l2;295~Ndm2we9n=50;3xLfc51zJ`a>{i=j?1<7?tHbg8yk3d>3:1=vFle:m1f1=83;pDnk4}o7`51zJ`a>{i=jn1<7?tHbg8yk3dm3:1=vFle:m1f`=83;pDnk4}o7g4?6=9rBhi6sa5e394?7|@jo0qc;k2;295~Ndm2we9i=50;3xLfc51zJ`a>{i=m?1<7?tHbg8yk3c>3:1=vFle:m1a1=83;pDnk4}o7g51zJ`a>{i=mn1<7?tHbg8yk3cm3:1=vFle:m1a`=83;pDnk4}o7f4?6=9rBhi6sa5d394?7|@jo0qc;j2;295~Ndm2we9h=50;3xLfc51zJ`a>{i=l?1<7?tHbg8yk3b>3:1=vFle:m1`1=83;pDnk4}o7f51zJ`a>{i=ln1<7?tHbg8yk3bm3:1=vFle:m1``=83;pDnk4}o7e4?6=9rBhi6sa5g394?7|@jo0qc;i2;295~Ndm2we9k=50;3xLfc51zJ`a>{i=o?1<7?tHbg8yk3a>3:1=vFle:m1c1=83;pDnk4}o7e51zJ`a>{i=on1<7?tHbg8yk3am3:1=vFle:m1c`=83;pDnk4}o434?6=9rBhi6sa61394?7|@jo0qc8?2;295~Ndm2we:==50;3xLfc51zJ`a>{i>9?1<7?tHbg8yk07>3:1=vFle:m251=83;pDnk4}o4351zJ`a>{i>9n1<7?tHbg8yk07m3:1=vFle:m25`=83;pDnk4}o424?6=9rBhi6sa60394?7|@jo0qc8>2;295~Ndm2we:<=50;3xLfc51zJ`a>{i>8?1<7?tHbg8yk06>3:1=vFle:m241=83;pDnk4}o42a;295~Ndm2we:51zJ`a>{i>8n1<7?tHbg8yk06m3:1=vFle:m24`=83;pDnk4}o414?6=9rBhi6sa63394?7|@jo0qc8=2;295~Ndm2we:?=50;3xLfc51zJ`a>{i>;?1<7?tHbg8yk05>3:1=vFle:m271=83;pDnk4}o4151zJ`a>{i>;n1<7?tHbg8yk05m3:1=vFle:m27`=83;pDnk4}o404?6=9rBhi6sa62394?7|@jo0qc8<2;295~Ndm2we:>=50;3xLfc51zJ`a>{i>:?1<7?tHbg8yk04>3:1=vFle:m261=83;pDnk4}o40l50;3xLfc51zJ`a>{i>:n1<7?tHbg8yk04m3:1=vFle:m26`=83;pDnk4}o474?6=9rBhi6sa65394?7|@jo0qc8;2;295~Ndm2we:9=50;3xLfc51zJ`a>{i>=?1<7?tHbg8yk03>3:1=vFle:m211=83;pDnk4}o4751zJ`a>{i>=n1<7?tHbg8yk03m3:1=vFle:m21`=83;pDnk4}o464?6=9rBhi6sa64394?7|@jo0qc8:2;295~Ndm2we:8=50;3xLfc87>51zJ`a>{i>3:1=vFle:m201=83;pDnk4}o46o7>51zJ`a>{i>51zJ`a>{i>??1<7?tHbg8yk01>3:1=vFle:m231=83;pDnk4}o4551zJ`a>{i>?n1<7?tHbg8Md?=9r81qW:m:5y4a?042:91o54r}o45a?6=9rBhi6sa67d94?7|@jo0qc880;295~Ndm2we::?50;3xLfc7>51zJ`a>{i>>91<7?tHbg8yk00<3:1=vFle:m223=83;pDnk4}o442?6=9rBhi6sa66594?7|@jo0qc888;295~Ndm2we::750;3xLfc51zJ`a>{i>>h1<7?tHbg8yk00k3:1=vFle:m0f7=83;pqc88d;295~{i>>o1<7?t}o44b?6=9rwe:5>50;3xyk0?93:1=vsa69094?7|ug<3?7>51zm2=2=83;pqpsr@AAx3=`=kk<9nnlk}ABA5{GHYqvLM \ No newline at end of file +$80;5=6:2.Yi{g|inl9$4(5=1*/=6>;;12351=78;2?7=>7039351=79OL97=<;;11357=70;1;N95?F1:2?42<98;;86?>12685473:2;M=6<=;327?7678=19<>?;;301E7=4:m18?;?ebr277+2<2?>9;:4547G6>31<2?=98:456DE5>053??97;7;;7;:21=11H?97;O;;7CBE4=0<2=MJK?4839;41=?89:?756I80386794:;:=37=;@47?D>?0=1JML7;;@CBA6=FL[80N=<4B318FP@33K_MK85MUGES55=E]@FOSYO]GDVBVFN?3K_XSD@IO09@7>E6<;1HI?5LI49@OGSA;2IGG45LLJ]AQCAW;2IG^45LOLOJPQCC?2IYILZJD09G7>B6;;1OEh5KRB]PQFEB[ZL^@85KRHTF5>C53LK37HV_AOFF@470IU^NNEGG[FII[]XJECZ\109F\UGILLNTE^KPFHNF7>@6;:1M=9<4FC68BGCF:2LO?6HKE29E@W26HJ4:DF452@ANL20J_AB_TAE5>A53N;87J?<3:E207=@M:1LBI=4H011?MU03AYTCO[I1:K1?L653@;97D<=;H16?LDRNN80EC=4IOC0?LHE02CEJH\]EE;8MKOS[]K_I>5FNWg8MKYIOMUJBIQMCE48MJEHEYk0EB][AOFBVA0JC>2FNH1>16:NF@979>2FNH1<16:NF@959>2FNH1:16:NF@939>2FNH1818:NF@91=87<0@HJ37?c8H@BX8VEIYKo4LDF\5ZIE]Ok0@HJP2^MAQCg7@[WCX78IP^A=o1Fmnmzm^a{[f;87;97@olcto\g}Ydq5:5Szi;Lc`gpkXkqUhu1?1139NefereVisSnw31?]qpc=Jiji~aRmw_b{?6;`7l0Almlul]`|Ze~4>4m7@olcto\g}Ydq525j6Cncbwn[f~Xkp622028Ided}dUhtRbzt=0=52=Jiji~aRmw_mww8786W@D]S=??;Lc`gpkXkqUgyy2<>028Ided}dUhtRbzt=6=55=Jiji~aRmw_mww808682Gjon{b_bz\hpr;>7;;7@olcto\g}Yk}}6<2<>4M`a`qhYdpVf~x161119NefereVisSa{{<8<24>KfkjfSnvPxnp?4;763DkhoxcPcy]{kw:687;;7@olcto\g}Yg{6:2<>4M`a`qhYdpVrd~1<1119NefereVisSua}<2<24>KfkjfSnvPxnp?0;773DkhoxcPcy]{kw:268:0Almlul]`|Z~hz5<5==5BabaviZeWqey0:0>0:ObgfsjWjrTtb|38?33?Hgdk|gTouQwos>::c=Jiji~aRmv_b{?4;753DkhoxcPcx]`}969W{~m7@olcto\g|Ydq5;5j6Cncbwn[fXkp692k5BabaviZe~Wjs7?3h4M`a`qhYdqVir090i;Lc`gpkXkpUhu1;1f:ObgfsjWjsTot29>g9NefereVirSnw37?d8Ided}dUhuRmv<9028Ided}dUhuRbzt=4=55=Jiji~aRmv_mww828682Gjon{b_b{\hpr;07;;7@olcto\g|Yk}}622<>4M`a`qhYdqVrd~1>1109NefereVirSua}<02=55=Jiji~aRmv_ymq848682Gjon{b_b{\|jt;:7;;7@olcto\g|Yg{682<>4M`a`qhYdqVrd~1:1119NefereVirSua}<4<24>KfkjfSnwPxnp?2;773DkhoxcPcx]{kw:068:0Almlul]`}Z~hz525==5BabaviZe~Wqey040i;Lc`gpkXdyUhu1>1f:ObgfsjWezTot2>>g9NefereVf{Snw32?d8Ided}dUg|Rmv<2KfkjfSa~Pcx>6:c=Jiji~aRb_b{?2;`9n2Gjon{b_mr\g|:>68:0Almlul]otZjr|5:5=<5BabaviZjwWe0<>1119NefereVf{Sa{{<0<24>KfkjfSa~Pltv?6;773DkhoxcPlq]oqq:468:0Almlul]otZjr|5>5==5BabaviZjwWe080>0:ObgfsjWezT`xz36?33?Hgdk|gT`}Qcuu>4:469991Fmnmzm^ns[iss404:<6Cncbwn[ivXpfx7<3?>;Lc`gpkXdyUsc2>0?33?Hgdk|gT`}Qwos>2:46028Ided}dUg|Rv`r=4=55=Jiji~aRb_ymq828682Gjon{b_mr\|jt;07;;7@olcto\huYg{622k5BabaviZtwWjs7<3h4M`a`qhYuxVir0<0i;Lc`gpkXzyUhu1<1f:ObgfsjW{zTot2<>g9NefereVx{Snw34?d8Ided}dUy|Rmv<4KfkjfS~Pcx>4:c=Jiji~aR|_b{?<;`>028Ided}dUy|Rbzt=0=55=Jiji~aR|_mww868682Gjon{b_sr\hpr;<7;;7@olcto\vuYk}}6>2<>4M`a`qhYuxVf~x181119NefereVx{Sa{{<6<24>KfkjfS~Pltv?<;773DkhoxcPrq]oqq:>68:0Almlul]qtZ~hz5:5=<5BabaviZtwWqey0<>1119NefereVx{Sua}<0<24>KfkjfS~Pxnp?6;773DkhoxcPrq]{kw:468:0Almlul]qtZ~hz5>5==5BabaviZtwWqey080>0:ObgfsjW{zTtb|36?33?Hgdk|gT~}Qwos>4:469991Fmnmzm^ps[}iu404:j6Cnde]``|Yt}k:;<=Qwos>1:47XAG\T<?_ymq86869VCEZR>>f:Ob`aYdlpUxyo>?01]{kw:368;TECXP00d8IdbcWjnrS~{m0123[}iu4<4:=RGAV^22e>KflmUc|h|Paef3456Xpfx7;3?>1c9NeabX`yoySljk0123[}iu4>4:=?01]{kw:?68;:n6Cnde]kt`tXimn;<=>Pxnp?<;7698k0Aljk_irfvZgcl9:;=Rv`r=5=547e3DkohRfes]b`a6788Usc28>0325d=JimnTd}k}_`fg4566Wqey050>10`8IdbcWazn~Rokd1235Z~hz525=c:Ob`aYwzlyhmak}_`fg4567Wjs7=3?l;Lcg`Zvumzij`h|Paef3456Xkp692c:Ob`aYwzlyhmak}_`fg4567Wjs7?3?l;Lcg`Zvumzij`h|Paef3456Xkp6?25Sz>c:Ob`aYwzlyhmak}_`fg4567Wjs793?i;Lcg`Zvumzij`h|Paef3456Xkp6>2R|{1b9NeabXx{oxolbjr^cg`5678Vir0;0>f:Ob`aYwzlyhmak}_`fg4567Wjs7:3Q}t0a8IdbcWyxnnoces]b`a6789Uhu1911g9NeabXx{oxolbjr^cg`5678Vir0:0Pru3`?HgclVzyi~mnldp\eab789:Tot27>0d8IdbcWyxnnoces]b`a6789Uhu161_sv2g>KflmU{~h}lamgq[dbc89:;Snw39?3e?HgclVzyi~mnldp\eab789:Tot26>^pw5a=JimnT|k|c`nfvZgcl9:;338IdbcWyxnnoces]b`a6789Usc2>0?]qp4b2:4b1:4b0:4b7:4b6:4b5:4b4:4b;:4b::4eKflmU{~h}lamgq[dbc89::Snw32?]qp4eKflmU{~h}lamgq[dbc89::Snw34?]qp4e5:4`>_b{?3;7a3DkohR~}erabh`tXimn;<=?Pcx>4:Zts9j1FmijPpsgpgdjbzVkoh=>?1^az8=86n2GjhiQrdq`eicuWhno<=>>_b{?<;Yu|8i0Aljk_qpfwfgkm{Ujhi>?00]`}9?99o1FmijPpsgpgdjbzVkoh=>?1^az8<8Xz};o7@okd^rqavefdlxTmij?013\hpr;97887@okd^rqavefdlxTmij?013\hpr;97UBB[Q?1e9NeabXx{oxolbjr^cg`5679Vf~x1=1229NeabXx{oxolbjr^cg`5679Vf~x1=1_HLU[57b3DkohR~}erabh`tXimn;<=?Pxnp?558592GjhiQrdq`eicuWhno<=>>_ymq8469W{~:h6Cnde]sv`udieoySljk0122[}iu484:h6Cnde]sv`udieoySljk0122[}iu4;4:h6Cnde]sv`udieoySljk0122[}iu4:4:h6Cnde]sv`udieoySljk0122[}iu4=4:h6Cnde]sv`udieoySljk0122[}iu4<4:h6Cnde]sv`udieoySljk0122[}iu4?4:h6Cnde]sv`udieoySljk0122[}iu4>4:h6Cnde]sv`udieoySljk0122[}iu414:h6Cnde]sv`udieoySljk0122[}iu404:o6Cnde]sv`udieoySljk0121[f;97;h7@okd^rqavefdlxTmij?010\g|:568l0Aljk_qpfwfgkm{Ujhi>?03]`}949W{~:o6Cnde]sv`udieoySljk0121[f;;7;h7@okd^rqavefdlxTmij?010\g|:368l0Aljk_qpfwfgkm{Ujhi>?03]`}929W{~:o6Cnde]sv`udieoySljk0121[f;=7;h7@okd^rqavefdlxTmij?010\g|:168i0Aljk_qpfwfgkm{Ujhi>?03]`}9199j1FmijPpsgpgdjbzVkoh=>?2^az8=86k2GjhiQrdq`eicuWhno<=>=_b{?=;7c3DkohR~}erabh`tXimn;<=>5Baef\twctkhfn~Rokd1236Zjr|595SD@Y_13f?HgclVzyi~mnldp\eab7898Ttb|311<2`>KflmU{~h}lamgq[dbc89:9Sua}<0<2`>KflmU{~h}lamgq[dbc89:9Sua}<3<2`>KflmU{~h}lamgq[dbc89:9Sua}<2<2`>KflmU{~h}lamgq[dbc89:9Sua}<5<2`>KflmU{~h}lamgq[dbc89:9Sua}<4<2`>KflmU{~h}lamgq[dbc89:9Sua}<7<2`>KflmU{~h}lamgq[dbc89:9Sua}<6<2`>KflmU{~h}lamgq[dbc89:9Sua}<9<2`>KflmU{~h}lamgq[dbc89:9Sua}<8<2g>KflmU{~h}lamgq[dbc89:8Snw31?3`?HgclVzyi~mnldp\eab7899Tot2=>0d8IdbcWyxnnoces]b`a678:Uhu1<1_sv2g>KflmU{~h}lamgq[dbc89:8Snw33?3`?HgclVzyi~mnldp\eab7899Tot2;>0d8IdbcWyxnnoces]b`a678:Uhu1:1_sv2g>KflmU{~h}lamgq[dbc89:8Snw35?3`?HgclVzyi~mnldp\eab7899Tot29>0a8IdbcWyxnnoces]b`a678:Uhu1911b9NeabXx{oxolbjr^cg`567;Vir050>c:Ob`aYwzlyhmak}_`fg4564Wjs753?k;Lcg`Zvumzij`h|Paef3455Xd|~7=3<<;Lcg`Zvumzij`h|Paef3455Xd|~7=3QFNW]35a=JimnT|k|c`nfvZgcl9:;?Rbzt=1=66=JimnT|k|c`nfvZgcl9:;?Rbzt=1=[LHQW9;n7@okd^rqavefdlxTmij?011\|jt;994:h6Cnde]sv`udieoySljk0120[}iu484:h6Cnde]sv`udieoySljk0120[}iu4;4:h6Cnde]sv`udieoySljk0120[}iu4:4:h6Cnde]sv`udieoySljk0120[}iu4=4:h6Cnde]sv`udieoySljk0120[}iu4<4:h6Cnde]sv`udieoySljk0120[}iu4?4:h6Cnde]sv`udieoySljk0120[}iu4>4:h6Cnde]sv`udieoySljk0120[}iu414:h6Cnde]sv`udieoySljk0120[}iu404:j6Cnde]sv`udieoySljkst`3456Xkp6;2?>4M`fg[utb{jkgiQndeqvf5678Vir0<>1219NeabXx{oxolbjr^cg`vse89:;Snw310<14>KflmU{~h}lamgq[dbc{|h;<=>Pcx>26;473DkohR~}erabh`tXimnxyo>?01]`}9746;:0Aljk_qpfwfgkm{Ujhi}zb1234Ze~48>5>=5Baef\twctkhfn~Rokdrwa4567Wjs7=80=0:Ob`aYwzlyhmak}_`fgwpd789:Tot2>6?03?HgclVzyi~mnldp\eabt}k:;<=Qly=34:4`?0^az8486n2GjhiQrdq`eicuWhnoxl?012\g|:568l0Aljk_qpfwfgkm{Ujhi}zb1234Ze~4:4:j6Cnde]sv`udieoySljkst`3456Xkp6?2f:Ob`aYwzlyhmak}_`fgwpd789:Tot29>0d8IdbcWyxnnoces]b`aurj9:;KflmU{~h}lamgq[dbc{|h;<=>Pcx>;:4`?0^az8<8582GjhiQrdq`eicuWhnoxl?012\hpr;878;7@okd^rqavefdlxTmij|uc2345Yg{6;2??4M`fg[utb{jkgiQndeqvf5678Vrd~1??>338IdbcWyxnnoces]b`aurj9:;?0^zlv9756;;0Aljk_qpfwfgkm{Ujhi}zb1234Z~hz5;82??4M`fg[utb{jkgiQndeqvf5678Vrd~1?;>338IdbcWyxnnoces]b`aurj9:;?0^zlv9716;;0Aljk_qpfwfgkm{Ujhi}zb1234Z~hz5;<2??4M`fg[utb{jkgiQndeqvf5678Vrd~1?7>328IdbcWyxnnoces]b`aurj9:;?_ymq878582GjhiQrdq`eicuWhnoxl?012\|jt;;78;7@okd^rqavefdlxTmij|uc2345Yg{6?2?>4M`fg[utb{jkgiQndeqvf5678Vrd~1;1219NeabXx{oxolbjr^cg`vse89:;Sua}<7<14>KflmU{~h}lamgq[dbc{|h;<=>Pxnp?3;473DkohR~}erabh`tXimnxyo>?01]{kw:?6;:0Aljk_qpfwfgkm{Ujhi}zb1234Z~hz535o6CnjnpUawungg;n7@m`mqcq[lhuokUha}Qhe1237Ze~494:j6ClolrbvZoiznhTo`~Pgd2346Ydq5;;2e:O`khvfzVce~jlPclr\c`678:Uhu1=11d9Ngjkwi{Ubbim_bos[bc7899Tot2;>0g8IfijxhxTec|hb^antZab89:8Snw35?3f?HeheykySd`}gc]`iuY`m9:;?Rmv<7<2a>Kdgdzj~Rgarf`\ghvXol:;<>Qly=5=5`=Jkfg{mQfnsea[fkwWno;<==Pcx>;:4c<_b{?=;7a3Dida}o}_hlqcgYdeyUli=>?3^nvp9699o1Fobcas]jjwaeWjg{Sjk?011\hpr;97;m7@m`mqcq[lhuokUha}Qhe1237Zjr|585=k5BcnosewYnf{miSnc_fg3455Xd|~7?3?i;LaliuguW`dykoQlmq]da567;Vf~x1:11g9Ngjkwi{Ubbim_bos[bc7899T`xz35?3e?HeheykySd`}gc]`iuY`m9:;?Rbzt=4=5c=Jkfg{mQfnsea[fkwWno;<==Pltv?3;7a3Dida}o}_hlqcgYdeyUli=>?3^nvp9>99o1Fobcas]jjwaeWjg{Sjk?011\hpr;17;n7@m`mqcq[lhuokUha}Qhe1231Ze~494:j6ClolrbvZoiznhTo`~Pgd2340Ydq5;;2e:O`khvfzVce~jlPclr\c`6780g8IfijxhxTec|hb^antZab89:>Snw35?3f?HeheykySd`}gc]`iuY`m9:;9Rmv<7<2a>Kdgdzj~Rgarf`\ghvXol:;<8Qly=5=5`=Jkfg{mQfnsea[fkwWno;<=;Pcx>;:4c:_b{?=;7a3Dida}o}_hlqcgYdeyUli=>?5^nvp9699o1Fobcas]jjwaeWjg{Sjk?017\hpr;97;m7@m`mqcq[lhuokUha}Qhe1231Zjr|585=k5BcnosewYnf{miSnc_fg3453Xd|~7?3?i;LaliuguW`dykoQlmq]da567=Vf~x1:11g9Ngjkwi{Ubbim_bos[bc789?T`xz35?3e?HeheykySd`}gc]`iuY`m9:;9Rbzt=4=5c=Jkfg{mQfnsea[fkwWno;<=;Pltv?3;7a3Dida}o}_hlqcgYdeyUli=>?5^nvp9>99o1Fobcas]jjwaeWjg{Sjk?017\hpr;17;n7@m`mqcq[lhuokUha}Qhe1232Ze~494:j6ClolrbvZoiznhTo`~Pgd2343Ydq5;;2Vir0?0>e:O`khvfzVce~jlPclr\c`678?Uhu1=11d9Ngjkwi{Ubbim_bos[bc7890g8IfijxhxTec|hb^antZab89:=Snw35?3f?HeheykySd`}gc]`iuY`m9:;:Rmv<7<2a>Kdgdzj~Rgarf`\ghvXol:;<;Qly=5=5`=Jkfg{mQfnsea[fkwWno;<=8Pcx>;:4c9_b{?=;7a3Dida}o}_hlqcgYdeyUli=>?6^nvp9699o1Fobcas]jjwaeWjg{Sjk?014\hpr;97;m7@m`mqcq[lhuokUha}Qhe1232Zjr|585=k5BcnosewYnf{miSnc_fg3450Xd|~7?3?i;LaliuguW`dykoQlmq]da567>Vf~x1:11g9Ngjkwi{Ubbim_bos[bc789?6^nvp9>99o1Fobcas]jjwaeWjg{Sjk?014\hpr;17;n7@m`mqcq[lhuokUha}Qhe1233Ze~494:j6ClolrbvZoiznhTo`~Pgd2342Ydq5;;2e:O`khvfzVce~jlPclr\c`678>Uhu1=11d9Ngjkwi{Ubbim_bos[bc789=Tot2;>0g8IfijxhxTec|hb^antZab89:Kdgdzj~Rgarf`\ghvXol:;<:Qly=5=5`=Jkfg{mQfnsea[fkwWno;<=9Pcx>;:4c8_b{?=;7a3Dida}o}_hlqcgYdeyUli=>?7^nvp9699o1Fobcas]jjwaeWjg{Sjk?015\hpr;97;m7@m`mqcq[lhuokUha}Qhe1233Zjr|585=k5BcnosewYnf{miSnc_fg3451Xd|~7?3?i;LaliuguW`dykoQlmq]da567?Vf~x1:11g9Ngjkwi{Ubbim_bos[bc789=T`xz35?3e?HeheykySd`}gc]`iuY`m9:;;Rbzt=4=5c=Jkfg{mQfnsea[fkwWno;<=9Pltv?3;7a3Dida}o}_hlqcgYdeyUli=>?7^nvp9>99o1Fobcas]jjwaeWjg{Sjk?015\hpr;17;n7@m`mqcq[lhuokUha}Qce1237Ze~494:j6ClolrbvZoiznhTo`~Pld2346Ydq5;;2e:O`khvfzVce~jlPclr\h`678:Uhu1=11d9Ngjkwi{Ubbim_bos[ic7899Tot2;>0g8IfijxhxTec|hb^antZjb89:8Snw35?3f?HeheykySd`}gc]`iuYkm9:;?Rmv<7<2a>Kdgdzj~Rgarf`\ghvXdl:;<>Qly=5=5`=Jkfg{mQfnsea[fkwWeo;<==Pcx>;:4c<_b{?=;7a3Dida}o}_hlqcgYdeyUgi=>?3^nvp9699o1Fobcas]jjwaeWjg{Sak?011\hpr;97;m7@m`mqcq[lhuokUha}Qce1237Zjr|585=k5BcnosewYnf{miSnc_mg3455Xd|~7?3?i;LaliuguW`dykoQlmq]oa567;Vf~x1:11g9Ngjkwi{Ubbim_bos[ic7899T`xz35?3e?HeheykySd`}gc]`iuYkm9:;?Rbzt=4=5c=Jkfg{mQfnsea[fkwWeo;<==Pltv?3;7a3Dida}o}_hlqcgYdeyUgi=>?3^nvp9>99o1Fobcas]jjwaeWjg{Sak?011\hpr;17;n7@m`mqcq[lhuokUha}Qce1231Ze~494:j6ClolrbvZoiznhTo`~Pld2340Ydq5;;2e:O`khvfzVce~jlPclr\h`6780g8IfijxhxTec|hb^antZjb89:>Snw35?3f?HeheykySd`}gc]`iuYkm9:;9Rmv<7<2a>Kdgdzj~Rgarf`\ghvXdl:;<8Qly=5=5`=Jkfg{mQfnsea[fkwWeo;<=;Pcx>;:4c:_b{?=;7a3Dida}o}_hlqcgYdeyUgi=>?5^nvp9699o1Fobcas]jjwaeWjg{Sak?017\hpr;97;m7@m`mqcq[lhuokUha}Qce1231Zjr|585=k5BcnosewYnf{miSnc_mg3453Xd|~7?3?i;LaliuguW`dykoQlmq]oa567=Vf~x1:11g9Ngjkwi{Ubbim_bos[ic789?T`xz35?3e?HeheykySd`}gc]`iuYkm9:;9Rbzt=4=5c=Jkfg{mQfnsea[fkwWeo;<=;Pltv?3;7a3Dida}o}_hlqcgYdeyUgi=>?5^nvp9>99o1Fobcas]jjwaeWjg{Sak?017\hpr;17;n7@m`mqcq[lhuokUha}Qce1232Ze~494:j6ClolrbvZoiznhTo`~Pld2343Ydq5;;2Vir0?0>e:O`khvfzVce~jlPclr\h`678?Uhu1=11d9Ngjkwi{Ubbim_bos[ic7890g8IfijxhxTec|hb^antZjb89:=Snw35?3f?HeheykySd`}gc]`iuYkm9:;:Rmv<7<2a>Kdgdzj~Rgarf`\ghvXdl:;<;Qly=5=5`=Jkfg{mQfnsea[fkwWeo;<=8Pcx>;:4c9_b{?=;7a3Dida}o}_hlqcgYdeyUgi=>?6^nvp9699o1Fobcas]jjwaeWjg{Sak?014\hpr;97;m7@m`mqcq[lhuokUha}Qce1232Zjr|585=k5BcnosewYnf{miSnc_mg3450Xd|~7?3?i;LaliuguW`dykoQlmq]oa567>Vf~x1:11g9Ngjkwi{Ubbim_bos[ic789?6^nvp9>99o1Fobcas]jjwaeWjg{Sak?014\hpr;17;n7@m`mqcq[lhuokUha}Qce1233Ze~494:j6ClolrbvZoiznhTo`~Pld2342Ydq5;;2e:O`khvfzVce~jlPclr\h`678>Uhu1=11d9Ngjkwi{Ubbim_bos[ic789=Tot2;>0g8IfijxhxTec|hb^antZjb89:Kdgdzj~Rgarf`\ghvXdl:;<:Qly=5=5`=Jkfg{mQfnsea[fkwWeo;<=9Pcx>;:4c8_b{?=;7a3Dida}o}_hlqcgYdeyUgi=>?7^nvp9699o1Fobcas]jjwaeWjg{Sak?015\hpr;97;m7@m`mqcq[lhuokUha}Qce1233Zjr|585=k5BcnosewYnf{miSnc_mg3451Xd|~7?3?i;LaliuguW`dykoQlmq]oa567?Vf~x1:11g9Ngjkwi{Ubbim_bos[ic789=T`xz35?3e?HeheykySd`}gc]`iuYkm9:;;Rbzt=4=5c=Jkfg{mQfnsea[fkwWeo;<=9Pltv?3;7a3Dida}o}_hlqcgYdeyUgi=>?7^nvp9>99o1Fobcas]jjwaeWjg{Sak?015\hpr;17;i7@m`mqcq[ivXkdzT`h>?01]`}9699k1Fobcas]otZejxVfn<=>?_b{?5;7e3Dida}o}_mr\ghvXdl:;<=Qly=0=5g=Jkfg{mQcp^antZjb89:;Snw33?3`?HeheykySa~Pclr\h`6789Ugyy2?>0a8IfijxhxT`}Qlmq]oa5678Vf~x1?11b9Ngjkwi{Ug|Rmbp^nf4567We0?0>c:O`khvfzVf{Snc_mg3456Xd|~7?3<<;LaliuguWezTo`~Pld2345Yk}}682Kdgdzj~R~}erabh`tXkdzTkh>?00]`}939:;1Fobcas]sv`udieoySnc_fg3457Xkp6=2?<4MbmntdtXx{oxolbjr^antZab89::Snw37?01?HeheykyS}|jsbcoawYdeyUli=>?1^az8=85:2Ghc`~nr^rqavefdlxTo`~Pgd2344Ydq535>>5BcnosewYwzlyhmak}_bos[bc789;T`xz30?07?HeheykyS}|jsbcoawYdeyUli=>?1^nvp9776;90Anabp`p\twctkhfn~Rmbp^ef4566We0<0=3:O`khvfzVzyi~mnldp\ghvXol:;<1:75318IfijxhxT|k|c`nfvZejxVmn<=>>_mww8085;2Ghc`~nr^rqavefdlxTo`~Pgd2344Yk}}6=2?=4MbmntdtXx{oxolbjr^antZab89::Sa{{<6<17>Kdgdzj~R~}erabh`tXkdzTkh>?00]oqq:?6;90Anabp`p\twctkhfn~Rmbp^ef4566We040=2:O`khvfzVzyi~mnldp\ghvXol:;<>Qly=2=66=Jkfg{mQrdq`eicuWjg{Sjk?011\g|:687897@m`mqcq[utb{jkgiQlmq]da567;Vir0<0=2:O`khvfzVzyi~mnldp\ghvXol:;<>Qly=0=67=Jkfg{mQrdq`eicuWjg{Sjk?011\g|:46;80Anabp`p\twctkhfn~Rmbp^ef4564Wjs783<=;LaliuguWyxnnoces]`iuY`m9:;?Rmv<4<16>Kdgdzj~R~}erabh`tXkdzTkh>?02]`}909:;1Fobcas]sv`udieoySnc_fg3455Xkp6<2?<4MbmntdtXx{oxolbjr^antZab89:8Snw38?01?HeheykyS}|jsbcoawYdeyUli=>?3^az8<85;2Ghc`~nr^rqavefdlxTo`~Pgd2346Yk}}6;2?:4MbmntdtXx{oxolbjr^antZab89:8Sa{{<02=66=Jkfg{mQrdq`eicuWjg{Sjk?011\hpr;97887@m`mqcq[utb{jkgiQlmq]da567;Vf~x1<1229Ngjkwi{U{~h}lamgq[fkwWno;<==Pltv?7;443Dida}o}_qpfwfgkm{Uha}Qhe1237Zjr|5>5>>5BcnosewYwzlyhmak}_bos[bc7899T`xz35?00?HeheykyS}|jsbcoawYdeyUli=>?3^nvp909::1Fobcas]sv`udieoySnc_fg3455Xd|~7;3<<;LaliuguWyxnnoces]`iuY`m9:;?Rbzt=:=66=Jkfg{mQrdq`eicuWjg{Sjk?011\hpr;17897@m`mqcq[utb{jkgiQlmq]da567Kdgdzj~R~}erabh`tXkdzTkh>?05]oqq:76;90Anabp`p\twctkhfn~Rmbp^ef4563We0<0=3:O`khvfzVzyi~mnldp\ghvXol:;<9Qcuu>1:75^KMRZ65;2Ghc`~nr^rqavefdlxTo`~Pgd2341Yk}}6?2?o4MbmntdtXx{oxolbjr^antZab89:?Sa{{<5<2[LHQW9897@m`mqcq[utb{jkgiQlmq]oa5678Vir0=0=2:O`khvfzVzyi~mnldp\ghvXdl:;<=Qly=3=67=Jkfg{mQrdq`eicuWjg{Sak?012\g|:56;80Anabp`p\twctkhfn~Rmbp^nf4567Wjs7?3<:;LaliuguWyxnnoces]`iuYkm9:;6ClolrbvZvumzij`h|Pclr\h`6789Uhu1;1249Ngjkwi{U{~h}lamgq[fkwWeo;<=>Pcx>6:Zts:;1Fobcas]sv`udieoySnc_mg3456Xkp6=2?=4MbmntdtXx{oxolbjr^antZjb89:;Sa{{<1<1=>Kdgdzj~R~}erabh`tXkdzT`h>?01]oqq:76VCEZR>=3:O`khvfzVzyi~mnldp\ghvXdl:;<=Qcuu>2:75318IfijxhxT|k|c`nfvZejxVfn<=>?_mww8385i2Ghc`~nr^rqavefdlxTo`~Pld2345Yk}}6=2Kdgdzj~R~}erabh`tXkdzT`h>?00]`}939:;1Fobcas]sv`udieoySnc_mg3457Xkp6=2?<4MbmntdtXx{oxolbjr^antZjb89::Snw37?01?HeheykyS}|jsbcoawYdeyUgi=>?1^az8=85:2Ghc`~nr^rqavefdlxTo`~Pld2344Ydq535>>5BcnosewYwzlyhmak}_bos[ic789;T`xz30?07?HeheykyS}|jsbcoawYdeyUgi=>?1^nvp9776;90Anabp`p\twctkhfn~Rmbp^nf4566We0<0=3:O`khvfzVzyi~mnldp\ghvXdl:;<1:75318IfijxhxT|k|c`nfvZejxVfn<=>>_mww8085;2Ghc`~nr^rqavefdlxTo`~Pld2344Yk}}6=2?=4MbmntdtXx{oxolbjr^antZjb89::Sa{{<6<17>Kdgdzj~R~}erabh`tXkdzT`h>?00]oqq:?6;90Anabp`p\twctkhfn~Rmbp^nf4566We040=2:O`khvfzVzyi~mnldp\ghvXdl:;=_b{?5;443Dida}o}_qpfwfgkm{Uha}Qce1236Ze~484:>95BcnosewYwzlyhmak}_bos[ic7898Tot2>>^216>Kdgdzj~R~}erabh`tXkdzT`h>?03]`}949::1Fobcas]sv`udieoySnc_mg3454Xkp692<<;;LaliuguWyxnnoces]`iuYkm9:;>Rmv<3<\4740P0318IfijxhxT|k|c`nfvZejxVfn<=>=_mww8585<2Ghc`~nr^rqavefdlxTo`~Pld2347Yk}}6;2<<<;LaliuguWyxnnoces]`iuYkm9:;>Rbzt=3=61=Jkfg{mQrdq`eicuWjg{Sak?010\hpr;97;9?6ClolrbvZvumzij`h|Pclr\h`678;Ugyy2=>368IfijxhxT|k|c`nfvZejxVfn<=>=_mww8786::1Fobcas]sv`udieoySnc_mg3454Xd|~7?3<;;LaliuguWyxnnoces]`iuYkm9:;>Rbzt=1=57dKdgdzj~R~}erabh`tXkdzT`h>?03]oqq:46;UBB[Q?239Ngjkwi{U{~h}lamgq[fkwWeo;<==Pcx>3:756ClolrbvZvumzij`h|Pclr\h`678:Uhu1?1239Ngjkwi{U{~h}lamgq[fkwWeo;<==Pcx>1:74Qly=7=67=Jkfg{mQrdq`eicuWjg{Sak?011\g|:16;80Anabp`p\twctkhfn~Rmbp^nf4564Wjs7;3<=;LaliuguWyxnnoces]`iuYkm9:;?Rmv<9<16>Kdgdzj~R~}erabh`tXkdzT`h>?02]`}9?9::1Fobcas]sv`udieoySnc_mg3455Xd|~7<3<;;LaliuguWyxnnoces]`iuYkm9:;?Rbzt=33:75318IfijxhxT|k|c`nfvZejxVfn<=><_mww8685;2Ghc`~nr^rqavefdlxTo`~Pld2346Yk}}6?2?=4MbmntdtXx{oxolbjr^antZjb89:8Sa{{<4<17>Kdgdzj~R~}erabh`tXkdzT`h>?02]oqq:16;90Anabp`p\twctkhfn~Rmbp^nf4564We0:0=3:O`khvfzVzyi~mnldp\ghvXdl:;<>Qcuu>;:75?0^az8486j2Ghc`~nr^ps[fkwWeo;<=>Pcx>1:4dKdgdzj~R|_bos[ic789:T`xz30?3`?HeheykyS~Pclr\h`6789Ugyy2>>0a8IfijxhxT~}Qlmq]oa5678Vf~x1<11b9Ngjkwi{Uy|Rmbp^nf4567We0>0=3:O`khvfzVx{Snc_mg3456Xd|~7?3?PIOT\44eKdgdzj~R}`n^antZjs89:=Snw32?3`?HeheykyS~aa_bos[ir7890a8IfijxhxTb`Pclr\hq678?Uhu1:11b9Ngjkwi{UxccQlmq]op567>Vir080>c:O`khvfzVydbRmbp^nw4561Wjs7:3?k;LaliuguWzeeSnc_mv3450Xd|~7=3?k;LaliuguWzeeSnc_mv3450Xd|~7?3<>;LaliuguWzeeSnc_mv3450Xd|~7?3Q\W13g?HeheykyS~aa_bos[ir78978:7@m`mqcq[viiWjg{Saz?014\hpr;>7UX[=m4MhllvScu{`ee=h5Bst`\lucuWzi<=>?_ymq84699VY\<?0^zlv9>998;27@}zb^jsawYt}k:;<=Qwos>::47c3Dy~nRfes]pqg6789Usc26>0]PS57b3Dy~nRfes]pqg6788Usc2>0?3\WR66i2GxyoQgpdp\wpd789;Ttb|38?325<=J{|hTd}k}_rwa4566Wqey040>1e9NwpdX`yoyS~{m0122[}iu404:S^Y?149NwpdXdyUxyo>?01]`}9699<1FxlPlq]pqg6789Uhu1?1149NwpdXdyUxyo>?01]`}9499<1FxlPlq]pqg6789Uhu1=1149NwpdXdyUxyo>?01]`}9299<1FxlPlq]pqg6789Uhu1;1149NwpdXdyUxyo>?01]`}9099<1FxlPlq]pqg6789Uhu191149NwpdXdyUxyo>?01]`}9>99<1FxlPlq]pqg6789Uhu171179NwpdXdyUxyo>?01]oqq:768=0A~{m_mr\wpd789:T`xz311<22>Kt}kUg|R}zb1234Zjr|5;5=;5Bst`\huYt}k:;<=Qcuu>1:40?_mww8186>2GxyoQcp^qvf5678Vf~x1;1179NwpdXdyUxyo>?01]oqq:168<0A~{m_mr\wpd789:T`xz37?35?HurjVf{S~{m0123[iss414::6C|uc]otZurj9:;Pxnp?5586>2GxyoQcp^qvf5678Vrd~1?1179NwpdXdyUxyo>?01]{kw:568<0A~{m_mr\wpd789:Ttb|33?35?HurjVf{S~{m0123[}iu4=4::6C|uc]otZurj9:;Pxnp?3;713Dy~nRb_rwa4567Wqey050>6:OpqgYkxVy~n=>?0^zlv9?99j1FxlPpsgpgdjbzVy~n=>?0^az8486n2GxyoQrdq`eicuWzi<=>?_b{?5;Yu|8i0A~{m_qpfwfgkm{Uxyo>?01]`}9499j1FxlPpsgpgdjbzVy~n=>?0^az8686n2GxyoQrdq`eicuWzi<=>?_b{?7;Yu|8i0A~{m_qpfwfgkm{Uxyo>?01]`}9299j1FxlPpsgpgdjbzVy~n=>?0^az8086k2GxyoQrdq`eicuWzi<=>?_b{?2;7d3Dy~nR~}erabh`tX{|h;<=>Pcx>4:4eKt}kU{~h}lamgq[vse89:;Sa{{<02=61=J{|hT|k|c`nfvZurj9:;318IvseWyxnnoces]pqg6789Ugyy2;>^KMRZ66l2GxyoQrdq`eicuWzi<=>?_mww8085;2GxyoQrdq`eicuWzi<=>?_mww808XAG\T<Kt}kU{~h}lamgq[vse89:;Sa{{<6<17>Kt}kU{~h}lamgq[vse89:;Sa{{<6<\MKPX88n0A~{m_qpfwfgkm{Uxyo>?01]oqq:?6;90A~{m_qpfwfgkm{Uxyo>?01]oqq:?6VCEZR>>d:OpqgYwzlyhmak}_rwa4567We040=3:OpqgYwzlyhmak}_rwa4567We040PIOT\44c24;7c3Dy~nR~}erabh`tX{|h;<=>Pxnp?5;7c3Dy~nR~}erabh`tX{|h;<=>Pxnp?6;7c3Dy~nR~}erabh`tX{|h;<=>Pxnp?7;7c3Dy~nR~}erabh`tX{|h;<=>Pxnp?0;7c3Dy~nR~}erabh`tX{|h;<=>Pxnp?1;7c3Dy~nR~}erabh`tX{|h;<=>Pxnp?2;7c3Dy~nR~}erabh`tX{|h;<=>Pxnp?3;7c3Dy~nR~}erabh`tX{|h;<=>Pxnp?<;7c3Dy~nR~}erabh`tX{|h;<=>Pxnp?=;7d3Dy~nR~}erabh`tX{|h;<=?Pcx>2:4`>_b{?6;7d3Dy~nR~}erabh`tX{|h;<=?Pcx>0:4`>_b{?0;7d3Dy~nR~}erabh`tX{|h;<=?Pcx>6:4eKt}kU{~h}lamgq[vse89::Snw38?3`?HurjVzyi~mnldp\wpd789;Tot26>0g8IvseWyxnnoces]pqg6788Ugyy2>0?07?HurjVzyi~mnldp\wpd789;T`xz311<\MKPX88n0A~{m_qpfwfgkm{Uxyo>?00]oqq:56;90A~{m_qpfwfgkm{Uxyo>?00]oqq:56VCEZR>>d:OpqgYwzlyhmak}_rwa4566We090=3:OpqgYwzlyhmak}_rwa4566We090PIOT\44b6:756:ZOI^V::h6C|uc]sv`udieoyS~{m0122[iss4?49?6C|uc]sv`udieoyS~{m0122[iss4?4TECXP00f8IvseWyxnnoces]pqg6788Ugyy28>318IvseWyxnnoces]pqg6788Ugyy28>^KMRZ66l2GxyoQrdq`eicuWzi<=>>_mww8=85;2GxyoQrdq`eicuWzi<=>>_mww8=8XAG\T<Kt}kU{~h}lamgq[vse89::Sua}<02=5a=J{|hT|k|c`nfvZurj9:;=Rv`r=3=5a=J{|hT|k|c`nfvZurj9:;=Rv`r=0=5a=J{|hT|k|c`nfvZurj9:;=Rv`r=1=5a=J{|hT|k|c`nfvZurj9:;=Rv`r=6=5a=J{|hT|k|c`nfvZurj9:;=Rv`r=7=5a=J{|hT|k|c`nfvZurj9:;=Rv`r=4=5a=J{|hT|k|c`nfvZurj9:;=Rv`r=5=5a=J{|hT|k|c`nfvZurj9:;=Rv`r=:=5a=J{|hT|k|c`nfvZurj9:;=Rv`r=;=5f=J{|hT|k|c`nfvZurj9:;>Rmv<0<2b>Kt}kU{~h}lamgq[vse89:9Snw31?]qp4eRmv<2<2b>Kt}kU{~h}lamgq[vse89:9Snw33?]qp4eRmv<4<2b>Kt}kU{~h}lamgq[vse89:9Snw35?]qp4eRmv<6<2g>Kt}kU{~h}lamgq[vse89:9Snw38?3`?HurjVzyi~mnldp\wpd7898Tot26>0g8IvseWyxnnoces]pqg678;Ugyy2>0?3g?HurjVzyi~mnldp\wpd7898T`xz32?00?HurjVzyi~mnldp\wpd7898T`xz32?]JJSY79m1FxlPpsgpgdjbzVy~n=>?2^nvp929::1FxlPpsgpgdjbzVy~n=>?2^nvp929W@D]S=?k;LqvfZvumzij`h|Pst`3454Xd|~7:3?k;LqvfZvumzij`h|Pst`3454Xd|~7;3?k;LqvfZvumzij`h|Pst`3454Xd|~743?k;LqvfZvumzij`h|Pst`3454Xd|~753?j;LqvfZvumzij`h|Pst`3454Xpfx7==0>d:OpqgYwzlyhmak}_rwa4565Wqey0<0>d:OpqgYwzlyhmak}_rwa4565Wqey0?0>d:OpqgYwzlyhmak}_rwa4565Wqey0>0>d:OpqgYwzlyhmak}_rwa4565Wqey090>d:OpqgYwzlyhmak}_rwa4565Wqey080>d:OpqgYwzlyhmak}_rwa4565Wqey0;0>d:OpqgYwzlyhmak}_rwa4565Wqey0:0>d:OpqgYwzlyhmak}_rwa4565Wqey050>d:OpqgYwzlyhmak}_rwa4565Wqey040>c:OpqgYwzlyhmak}_rwa4564Wjs7=3?i;LqvfZvumzij`h|Pst`3455Xkp6:2R|{1b9NwpdXx{oxolbjr^qvf567;Vir0?0>c:OpqgYwzlyhmak}_rwa4564Wjs7?3?i;LqvfZvumzij`h|Pst`3455Xkp682R|{1b9NwpdXx{oxolbjr^qvf567;Vir090>c:OpqgYwzlyhmak}_rwa4564Wjs793?i;LqvfZvumzij`h|Pst`3455Xkp6>2R|{1b9NwpdXx{oxolbjr^qvf567;Vir0;0>c:OpqgYwzlyhmak}_rwa4564Wjs7;3?l;LqvfZvumzij`h|Pst`3455Xkp632Kt}kU{~h}lamgq[vse89:8Sa{{<5<17>Kt}kU{~h}lamgq[vse89:8Sa{{<5<\MKPX88n0A~{m_qpfwfgkm{Uxyo>?02]oqq:168n0A~{m_qpfwfgkm{Uxyo>?02]oqq:068n0A~{m_qpfwfgkm{Uxyo>?02]oqq:?68n0A~{m_qpfwfgkm{Uxyo>?02]oqq:>68o0A~{m_qpfwfgkm{Uxyo>?02]{kw:687;o7@}zb^rqavefdlxTxl?011\|jt;97;o7@}zb^rqavefdlxTxl?011\|jt;:7;o7@}zb^rqavefdlxTxl?011\|jt;;7;o7@}zb^rqavefdlxTxl?011\|jt;<7;o7@}zb^rqavefdlxTxl?011\|jt;=7;o7@}zb^rqavefdlxTxl?011\|jt;>7;o7@}zb^rqavefdlxTxl?011\|jt;?7;o7@}zb^rqavefdlxTxl?011\|jt;07;o7@}zb^rqavefdlxTxl?011\|jt;17;>7@}zb^ps[vse89:;Snw30?36?HurjVx{S~{m0123[f;97;>7@}zb^ps[vse89:;Snw32?36?HurjVx{S~{m0123[f;;7;>7@}zb^ps[vse89:;Snw34?36?HurjVx{S~{m0123[f;=7;>7@}zb^ps[vse89:;Snw36?36?HurjVx{S~{m0123[f;?7;>7@}zb^ps[vse89:;Snw38?36?HurjVx{S~{m0123[f;17;=7@}zb^ps[vse89:;Sa{{<1<23>Kt}kUy|R}zb1234Zjr|5;;2<84Mrwa[wvX{|h;<=>Pltv?5;713Dy~nR|_rwa4567We0?0>6:OpqgYuxVy~n=>?0^nvp9599?1FxlPrq]pqg6789Ugyy2;>048IvseW{zTxl?012\hpr;=7;=7@}zb^ps[vse89:;Sa{{<7<22>Kt}kUy|R}zb1234Zjr|5=5=;5Bst`\vuYt}k:;<=Qcuu>;:40?_ymq8586?2GxyoQ}p^qvf5678Vrd~1??>048IvseW{zTxl?012\|jt;97;=7@}zb^ps[vse89:;Sua}<3<22>Kt}kUy|R}zb1234Z~hz595=;5Bst`\vuYt}k:;<=Qwos>7:40?_ymq8386>2GxyoQ}p^qvf5678Vrd~191179NwpdXzyUxyo>?01]{kw:?68<0A~{m_sr\wpd789:Ttb|39?08J420268J462<2D:<::4N02:1>H698;?7C?>359M54343G;986@>3268J452<2D:?::4N01:7>H6<=1E=9?;;O3771=I9=??7C?;759M51?33G;>?95A1467?K72==1E=88;;O3631=I9<2?7C?:929M532829M67587C<:3:L126=I:>90B?6<;O137>H4::1E?;<4N518J1643G>??6@;629M0=4<;O717>H2<:1E9;=4N4:1?K043G<;?6@9229M2656;2D3?>5A8418J=143G22?6@6129M=65V)990\LJ;;QCQPd=WAGUIY^GKXc9SMKYE]ZDJAH:4PNWW=>VH]]UDNXH<;QPF5>W63[;;7_K]ERMOR@YWI[KF_;5]GC>3:3=UOK6:2;5]GC>1:3=UOK682;5]GC>7:3=UOK6>2;5]GC>5:==UOK6<6=09;SEA828f3[MIS=Q@BTDb?WAEW8UDNXHn;SEA[7YHJ\Lj7_IM_2]LFP@f3[MIS9Q@BTDb?WAEWTb{|f0=00?1:?Wct}e~7=3o4Rdqvhq:668k0^h}zlu>2:7g>2;8V`urd}692l5]erwop9499h1Yi~{ct=0=6d=Umzgx1<1389Qavsk|595m6\jstnw8686i2Xnxb{<2<1e>Tb{|f0>0<9:Pfwpjs4=4j7_k|umv?0;7f3[oxyaz34?0b?Wct}e~783=6;Sgpqir;=7k0^h}zlu>6:4g3c8V`urd}6>2>74Rdqvhq:16h1Yi~{ct=4=5d=Umzgx1812`9Qavsk|5<5?45]erwop919i2Xnxb{<6<2e>Tb{|f0:0=a:Pfwpjs4>4856\jstnw8=8f3[oxyaz38?3b?Wct}e~7430c8V`urd}622?o4Rdqvhq:>6:;0_>5\EM18W@R43ZLM86]CEV68WWUS<2YXIY?01]`}9776;h0_}knkdpGvlpbz&GjhiQrdq`eicuWhno<=>?_b{?5485j2Y{ilejrEpjr`t(EhnoS}|jsbcoawYflm:;<=Qly=31:7d<[yojgh|Krhtfv*KflmU{~h}lamgq[dbc89:;Snw312<1f>Uwmhan~I|fvdp,IdbcWyxnnoces]b`a6789Uhu1?;>3`8WucfclxO~dxjr.Ob`aYwzlyhmak}_`fg4567Wjs7=80=b:QsadmbzMxbzh| M`fg[utb{jkgiQnde2345Ydq5;=2?l4Sqgbo`tCz`|n~"Cnde]sv`udieoySljk0123[f;9>49n6]e`ifvAtn~lx$Aljk_qpfwfgkm{Ujhi>?01]`}97?6;h0_}knkdpGvlpbz&GjhiQrdq`eicuWhno<=>?_b{?5<85i2Y{ilejrEpjr`t(EhnoS}|jsbcoawYflm:;<=Qly=3=6g=Txlk`iJ}iwgq+HgclVzyi~mnldp\eab789:Tot2=0?0a?VvbiboyHgyes-NeabXx{oxolbjr^cg`5678Vir0??12c9Pt`glm{Nye{k}/Lcg`Zvumzij`h|Paef3456Xkp69>3l5\pdchawBuaoy#@okd^rqavefdlxTmij?012\g|:56;k0_}knkdpGvlpbz&GjhiQrdq`eicuWhno<=>?_b{?7;4f3Zznmfk}Dskuaw)JimnT|k|c`nfvZgcl9:;Uwmhan~I|fvdp,IdbcWyxnnoces]b`a6789Uhu1;12`9Pt`glm{Nye{k}/Lcg`Zvumzij`h|Paef3456Xkp6=2?o4Sqgbo`tCz`|n~"Cnde]sv`udieoySljk0123[f;?78j7^~jajgq@woqm{%FmijPpsgpgdjbzVkoh=>?0^az8=85i2Y{ilejrEpjr`t(EhnoS}|jsbcoawYflm:;<=Qly=;=6g=Txlk`iJ}iwgq+HgclVzyi~mnldp\eab789:T`xz30?0a?VvbiboyHgyes-NeabXx{oxolbjr^cg`5678Vrd~1>12b9Pt`glm{Nye{k}/Lcg`Zvumzij`h|Paef3456Xpfx7==0=c:QsadmbzMxbzh| M`fg[utb{jkgiQnde2345Yg{6:=3n5\pdchawBuaoy#@okd^rqavefdlxTmij?012\|jt;9=49o6]e`ifvAtn~lx$Aljk_qpfwfgkm{Ujhi>?01]{kw:6=78h7^~jajgq@woqm{%FmijPpsgpgdjbzVkoh=>?0^zlv9716;i0_}knkdpGvlpbz&GjhiQrdq`eicuWhno<=>?_ymq8419:j1X|hodesFqmscu'DkohR~}erabh`tXimn;<=>Pxnp?5=85k2Y{ilejrEpjr`t(EhnoS}|jsbcoawYflm:;<=Qwos>2=;4e3Zznmfk}Dskuaw)JimnT|k|c`nfvZgcl9:;Uwmhan~I|fvdp,IdbcWyxnnoces]b`a6789Usc2=1?0`?VvbiboyHgyes-NeabXx{oxolbjr^cg`5678Vrd~1<=>3a8WucfclxO~dxjr.Ob`aYwzlyhmak}_`fg4567Wqey0?=12b9Pt`glm{Nye{k}/Lcg`Zvumzij`h|Paef3456Xpfx7>90=b:QsadmbzMxbzh| M`fg[utb{jkgiQnde2345Yg{692?l4Sqgbo`tCz`|n~"Cnde]sv`udieoySljk0123[}iu4:49n6]e`ifvAtn~lx$Aljk_qpfwfgkm{Ujhi>?01]{kw:36;h0_}knkdpGvlpbz&GjhiQrdq`eicuWhno<=>?_ymq8085j2Y{ilejrEpjr`t(EhnoS}|jsbcoawYflm:;<=Qwos>5:7d<[yojgh|Krhtfv*KflmU{~h}lamgq[dbc89:;Sua}<6<1f>Uwmhan~I|fvdp,IdbcWyxnnoces]b`a6789Usc27>3`8WucfclxO~dxjr.Ob`aYwzlyhmak}_`fg4567Wqey040=b:QsadmbzMxbzh| MbmntdtX{fSnc_fg3456Xkp6;2?k4Sqgbo`tCz`|n~"ClolrbvZuh}}Uha}Qhe1234Ze~494T~y?0^az878Xz}8i7^~jajgq@woqm{%Fobcas]pkprXkdzTkh>?01]`}959:k1X|hodesFqmscu'Dida}o}_rmvpZejxVmn<=>?_b{?0;4e3Zznmfk}Dskuaw)Jkfg{mQ|otv\ghvXol:;<=Qly=7=6g=Txlk`iJ}iwgq+HeheykyS~azt^antZab89:;Snw36?0a?VvbiboyHgyes-Ngjkwi{UxcxzPclr\c`6789Uhu1912c9Pt`glm{Nye{k}/LaliuguWze~xRmbp^ef4567Wjs743i5\pdchawBuaoy#@m`mqcq[vir|Vif|Rij0123[iss48:5>n5\pdchawBuaoy#@m`mqcq[vir|Vif|Rij0123[iss4849o6]e`ifvAtn~lx$Anabp`p\wjssWjg{Sjk?012\hpr;;78h7^~jajgq@woqm{%Fobcas]pkprXkdzTkh>?01]oqq:36;i0_}knkdpGvlpbz&Ghc`~nr^qlqqYdeyUli=>?0^nvp939:j1X|hodesFqmscu'Dida}o}_rmvpZejxVmn<=>?_mww8385k2Y{ilejrEpjr`t(Ejef|l|Psnww[fkwWno;<=>Pltv?3;4d3Zznmfk}Dskuaw)Jkfg{mQ|otv\ghvXol:;<=Qcuu>;:7e<[yojgh|Krhtfv*Kdgdzj~R}`uu]`iuY`m9:;25;7e3Zznmfk}Dskuaw)wzlyhmak}<00=5g=Txlk`iJ}iwgq+utb{jkgi2>3?3a?VvbiboyHgyes-sv`udieoy0<:11c9Pt`glm{Nye{k}/qpfwfgkm{6:93?m;RrfencuL{c}i!rdq`eicu48<5=o5\pdchawBuaoy#}|jsbcoaw:6?7;i7^~jajgq@woqm{%{~h}lamgq84>99k1X|hodesFqmscu'yxnnoces>2=;7f3Zznmfk}Dskuaw)wzlyhmak}<0<2f>Uwmhan~I|fvdp,twctkhfn~10`8WucfclxO~dxjr.rqavefdlx7><0>b:QsadmbzMxbzh| psgpgdjbz5892Uwmhan~I|fvdp,twctkhfn~1:11`9Pt`glm{Nye{k}/qpfwfgkm{6>27;j7^~jajgq@woqm{%{~h}lamgq8286i2Y{ilejrEpjr`t(x{oxolbjr=:=5d=Txlk`iJ}iwgq+utb{jkgi26>0;8WucfclxO~dxjr.rqavefdlxT<<74Sqgbo`tCz`|n~"~}erabh`tX98k0_}knkdpGvlpbz&zyi~mnldp\557f3Zznmfk}Dskuaw)wzlyhmak}_032e>Uwmhan~I|fvdp,twctkhfn~R?=1`9Pt`glm{Nye{k}/qpfwfgkm{U:?70c8WucfclxO~dxjr.rqavefdlxT=5?n;RrfencuL{c}i!rdq`eicuW83:56]e`ifvAtn~lx$|k|c`nfvZ46i2Y{ilejrEpjr`t(x{oxolbjr^035d=Txlk`iJ}iwgq+utb{jkgiQ=10c8WucfclxO~dxjr.rqavefdlxT>??n;RrfencuL{c}i!rdq`eicuW;9:m6]e`ifvAtn~lx$|k|c`nfvZ43901X|hodesFqmscu'yxnnoces]05<=Txlk`iJ}iwgq+utb{jkgiQ;189Pt`glm{Nye{k}/qpfwfgkm{U>=45\pdchawBuaoy#}|jsbcoawY1901X|hodesFqmscu'yxnnoces]45<=Txlk`iJ}iwgq+utb{jkgiQ7189Pt`glm{Nye{k}/qpfwfgkm{U2>?5\pdchawBuaoy#}|jsbcoawYflm:;<=2?>318WucfclxO~dxjr.rqavefdlxTmij?012?5585;2Y{ilejrEpjr`t(x{oxolbjr^cg`56785;:2?=4Sqgbo`tCz`|n~"~}erabh`tXimn;<=>313<17>Uwmhan~I|fvdp,twctkhfn~Rokd12349746;90_}knkdpGvlpbz&zyi~mnldp\eab789:7=90=3:QsadmbzMxbzh| psgpgdjbzVkoh=>?0=36:75<[yojgh|Krhtfv*vumzij`h|Paef3456;9?49?6]e`ifvAtn~lx$|k|c`nfvZgcl9:;<1?8>318WucfclxO~dxjr.rqavefdlxTmij?012?5=85;2Y{ilejrEpjr`t(x{oxolbjr^cg`56785;22?<4Sqgbo`tCz`|n~"~}erabh`tXimn;<=>31?00?VvbiboyHgyes-sv`udieoySljk01238769::1X|hodesFqmscu'yxnnoces]b`a678969=3<<;RrfencuL{c}i!rdq`eicuWhno<=>?<30=66=Txlk`iJ}iwgq+utb{jkgiQnde2345:5;7887^~jajgq@woqm{%{~h}lamgq[dbc89:;0?:1239Pt`glm{Nye{k}/qpfwfgkm{Ujhi>?01>1:74<[yojgh|Krhtfv*vumzij`h|Paef3456;;7897^~jajgq@woqm{%{~h}lamgq[dbc89:;090=2:QsadmbzMxbzh| psgpgdjbzVkoh=>?0=7=67=Txlk`iJ}iwgq+utb{jkgiQnde2345:16;80_}knkdpGvlpbz&zyi~mnldp\eab789:7;3<=;RrfencuL{c}i!rdq`eicuWhno<=>?<9<16>Uwmhan~I|fvdp,twctkhfn~Rokd12349?9::1X|hodesFqmscu'yxnnoces]nq}67896:<3<;;RrfencuL{c}i!rdq`eicuWds<=>?<02=575<[yojgh|Krhtfv*vumzij`h|Pmtz3456;984986]e`ifvAtn~lx$|k|c`nfvZkrp9:;<1?>>000?VvbiboyHgyes-sv`udieoyS`{w01238449:=1X|hodesFqmscu'yxnnoces]nq}67896:>3?=3:QsadmbzMxbzh| psgpgdjbzVg~t=>?0=30:72<[yojgh|Krhtfv*vumzij`h|Pmtz3456;9:4:>>5\pdchawBuaoy#}|jsbcoawYj}q:;<=2>4?07?VvbiboyHgyes-sv`udieoyS`{w012384299;90_}knkdpGvlpbz&zyi~mnldp\ip~789:7=80=4:QsadmbzMxbzh| psgpgdjbzVg~t=>?0=36:4443Zznmfk}Dskuaw)wzlyhmak}_lw{456748<5>95\pdchawBuaoy#}|jsbcoawYj}q:;<=2>6?317>Uwmhan~I|fvdp,twctkhfn~Rczx12349706;>0_}knkdpGvlpbz&zyi~mnldp\ip~789:7=:0>229Pt`glm{Nye{k}/qpfwfgkm{Ufyu>?01>2<;433Zznmfk}Dskuaw)wzlyhmak}_lw{45674825=?=4Sqgbo`tCz`|n~"~}erabh`tXe|r;<=>318<10>Uwmhan~I|fvdp,twctkhfn~Rczx123497>68897^~jajgq@woqm{%{~h}lamgq[hs89:;0<0=3:QsadmbzMxbzh| psgpgdjbzVg~t=>?0=3=575<[yojgh|Krhtfv*vumzij`h|Pmtz3456;:94986]e`ifvAtn~lx$|k|c`nfvZkrp9:;<1000?VvbiboyHgyes-sv`udieoyS`{w01238779:=1X|hodesFqmscu'yxnnoces]nq}678969=3?=3:QsadmbzMxbzh| psgpgdjbzVg~t=>?0=01:72<[yojgh|Krhtfv*vumzij`h|Pmtz3456;:;4:>>5\pdchawBuaoy#}|jsbcoawYj}q:;<=2=3?07?VvbiboyHgyes-sv`udieoyS`{w012387599;90_}knkdpGvlpbz&zyi~mnldp\ip~789:7>90=4:QsadmbzMxbzh| psgpgdjbzVg~t=>?0=07:4453Zznmfk}Dskuaw)wzlyhmak}_lw{45674;49?6]e`ifvAtn~lx$|k|c`nfvZkrp9:;<1<11308WucfclxO~dxjr.rqavefdlxTaxv?012?7;443Zznmfk}Dskuaw)wzlyhmak}_lw{45674:4:>?5\pdchawBuaoy#}|jsbcoawYj}q:;<=2;>318WucfclxO~dxjr.rqavefdlxTaxv?012?0;75:2Y{ilejrEpjr`t(x{oxolbjr^ov|56785?5>>5\pdchawBuaoy#}|jsbcoawYj}q:;<=2:>001?VvbiboyHgyes-sv`udieoyS`{w01238385;2Y{ilejrEpjr`t(x{oxolbjr^ov|56785<5=?<4Sqgbo`tCz`|n~"~}erabh`tXe|r;<=>37?00?VvbiboyHgyes-sv`udieoyS`{w01238286:;1X|hodesFqmscu'yxnnoces]nq}6789632?=4Sqgbo`tCz`|n~"~}erabh`tXe|r;<=>38?316>Uwmhan~I|fvdp,twctkhfn~Rczx12349?9::1X|hodesFqmscu'yxnnoces]nq}6789622Uwmhan~I|fvdp,wjssWjg{Sjk?0122e>Uwmhan~I|fvdp,wjssWge<=>>209Pt`glm{Nye{k}/rmvpZhh|9:;=RNMFLM86ZVPD48QKSTMMi0Y^K]_WKPMGJB;2\HOk5YG@Fqmscu'OXJAH??;WEB@woqm{%M^LCJ1068RBGCz`|n~"H]ALG\FP@@9>1]KLJ}iwgq+CTFELUecy>?003:?SAFL{c}i!IR@OF[kis89::=??6;WEB@woqm{%M^LCJ_omw4566:<;o7[INDskuaw)AZHGNSca{012260YT_9i0ZJOKrhtfv*NT9=1]KLJ}iwgq+MUXign;<=>>6:TDEAtn~lx$D^Qnne2345759h1]KLJ}iwgq+MUXign;<=>>2^QT440<^NKO~dxjr.JP[dhc89:;>5?n;WEB@woqm{%C_Road12347>X[^::n6XHAEpjr`t(@ZUjbi>?010;[VQ798h0ZJOKrhtfv*NTWhdo<=>?29]PS546l2\LMI|fvdp,LVYffm:;<=<7_RU3[c36m2\LMI|fvdp,IdbcWQUjhi>?01]`}9699l1]KLJ}iwgq+HgclVRTmij?012\g|:66;;0ZJOKrhtfv*KflmUSSljk0123[f;97Uyx3<>;WEB@woqm{%FmijPX^cg`5678Vir0?0Pru3f?SAFL{c}i!Baef\\Zgcl9:;P@IMxbzh| M`fg[]Yflm:;<=Qly=1=[wr6m2\LMI|fvdp,IdbcWQUjhi>?01]`}929:81]KLJ}iwgq+HgclVRTmij?012\g|:36Vx=h5YG@Fqmscu'DkohRVPaef3456Xkp6>2??4VFCGvlpbz&GjhiQW_`fg4567Wjs793Q}t0g8RBGCz`|n~"Cnde][[dbc89:;Snw36?02?SAFL{c}i!Baef\\Zgcl9:;?0^az828592\LMI|fvdp,IdbcWQUjhi>?01]`}919W{~:i6XHAEpjr`t(EhnoSUQnde2345Ydq525><5YG@Fqmscu'DkohRVPaef3456Xkp632R|{1g9UCDBuaoy#@okd^Z\eab789:T`xz30?06?SAFL{c}i!Baef\\Zgcl9:;Pxnp?1;7a3_MJHgyes-NeabXPVkoh=>?0^zlv9099o1]KLJ}iwgq+HgclVRTmij?012\|jt;?7;m7[INDskuaw)JimnTTRokd1234Z~hz525=k5YG@Fqmscu'DkohRVPaef3456Xpfx753?j;WEB@woqm{%FmijPY^cg`5678Vir0=0>e:TDEAtn~lx$Aljk_X]b`a6789Uhu1?1209UCDBuaoy#@okd^[\eab789:Tot2>>^pw5`=QOHNye{k}/Lcg`Z_Ximn;<=>Pcx>1:77<^NKO~dxjr.Ob`aY^Whno<=>?_b{?6;Yu|8o0ZJOKrhtfv*KflmURSljk0123[f;;78:7[INDskuaw)JimnTURokd1234Ze~4:4T~y?j;WEB@woqm{%FmijPY^cg`5678Vir090=1:TDEAtn~lx$Aljk_X]b`a6789Uhu1:1_sv2a>P@IMxbzh| M`fg[\Yflm:;<=Qly=7=64=QOHNye{k}/Lcg`Z_Ximn;<=>Pcx>6:Zts9l1]KLJ}iwgq+HgclVSTmij?012\g|:16;;0ZJOKrhtfv*KflmURSljk0123[f;>7Uyx;WEB@woqm{%FmijPY^cg`5678Vir0:0Pru3f?SAFL{c}i!Baef\]Zgcl9:;P@IMxbzh| M`fg[\Yflm:;<=Qly=:=[wr6n2\LMI|fvdp,IdbcWPUjhi>?01]oqq:76;?0ZJOKrhtfv*KflmURSljk0123[iss494TECXP00d8RBGCz`|n~"Cnde]Z[dbc89:;Sua}<1<2b>P@IMxbzh| M`fg[\Yflm:;<=Qwos>2:4`<^NKO~dxjr.Ob`aY^Whno<=>?_ymq8786n2\LMI|fvdp,IdbcWPUjhi>?01]{kw:468l0ZJOKrhtfv*KflmURSljk0123[}iu4=4:j6XHAEpjr`t(EhnoSTQnde2345Yg{6>2f:TDEAtn~lx$Aljk_X]b`a6789Usc28>0d8RBGCz`|n~"Cnde]Z[dbc89:;Sua}<9<2b>P@IMxbzh| M`fg[\Yflm:;<=Qwos>::4e<^NKO~dxjr.O`kphsWaeyewQly=2=5f=QOHNye{k}/LalqkrX`fxbvRmv<0<2b>P@IMxbzh| MbmvjqYog{cqSnw31?]qp4e<^NKO~dxjr.O`kphsWaeyewQly=0=5c=QOHNye{k}/LalqkrX`fxbvRmv<3<\vq7d3_MJHgyes-Ngjsi|Vbd~dtPcx>0:4`<^NKO~dxjr.O`kphsWaeyewQly=1=[wr6k2\LMI|fvdp,Ifirf}Uccgu_b{?0;7a3_MJHgyes-Ngjsi|Vbd~dtPcx>7:Zts9j1]KLJ}iwgq+Heh}g~Tdb|fz^az8086n2\LMI|fvdp,Ifirf}Uccgu_b{?1;Yu|8i0ZJOKrhtfv*Kdg|dSea}i{]`}9099o1]KLJ}iwgq+Heh}g~Tdb|fz^az838Xz};h7[INDskuaw)JkfexRf`rhx\g|:068l0ZJOKrhtfv*Kdg|dSea}i{]`}919W{~:o6XHAEpjr`t(Eje~byQgosky[f;07;m7[INDskuaw)JkfexRf`rhx\g|:?6Vx=i5YG@Fqmscu'DidyczPhnpj~Zjr|5:5>>5YG@Fqmscu'DidyczPhnpj~Zjr|5:5SD@Y_13g?SAFL{c}i!BcnwmpZnhz`pTtb|30?3g?SAFL{c}i!BcnwmpZnhz`pTtb|31?3g?SAFL{c}i!BcnwmpZnhz`pTtb|32?3g?SAFL{c}i!BcnwmpZnhz`pTtb|33?3g?SAFL{c}i!BcnwmpZnhz`pTtb|34?3g?SAFL{c}i!BcnwmpZnhz`pTtb|35?3g?SAFL{c}i!BcnwmpZnhz`pTtb|36?3g?SAFL{c}i!BcnwmpZnhz`pTtb|37?3g?SAFL{c}i!BcnwmpZnhz`pTtb|38?3g?SAFL{c}i!BcnwmpZnhz`pTtb|39?03?SAFL{c}i!BcnwmpZnhz`pTtb|39?]qp4d<^NKO~dxjr.O`kphsWoyxRmv<1<2f>P@IMxbzh| MbmvjqYqm{~Tot2>>0g8RBGCz`|n~"Clotlw[scu|Vir0<0Pru3a?SAFL{c}i!BcnwmpZpbz}Uhu1<11d9UCDBuaoy#@m`uov\r`tsWjs7>3Q}t0`8RBGCz`|n~"Clotlw[scu|Vir0>0>e:TDEAtn~lx$Anaznu]uawrXkp682R|{1c9UCDBuaoy#@m`uov\r`tsWjs783?j;WEB@woqm{%Fob{at^tfvqYdq5>5Sz>b:TDEAtn~lx$Anaznu]uawrXkp6>27Uyx4:i6XHAEpjr`t(Eje~byQyesv\g|:06Vx=o5YG@Fqmscu'DidyczPvdpw[f;07;n7[INDskuaw)JkfexRxjru]`}9>9W{~:o6XHAEpjr`t(Eje~byQyesv\hpr;87897[INDskuaw)JkfexRxjru]oqq:76VCEZR>>c:TDEAtn~lx$Anaznu]uawrXpfx7<3?l;WEB@woqm{%Fob{at^tfvqYg{6:2::4`<^NKO~dxjr.O`kphsWoyxRv`r=;=[wr592\LMI|fvdp,IvseWQUjhi}zb1234Ze~484986XHAEpjr`t(EziSUQndeqvf5678Vir0<0Pru02?SAFL{c}i!Bst`\\Zgclzi<=>?_b{?6;463_MJHgyes-NwpdXPVkoh~{m0123[f;;78:7[INDskuaw)J{|hTTRokdrwa4567Wjs783<;;WEB@woqm{%FxlPX^cg`vse89:;Snw34?]qp77<^NKO~dxjr.OpqgY_Whnoxl?012\g|:26;;0ZJOKrhtfv*Kt}kUSSljkst`3456Xkp6=2??4VFCGvlpbz&GxyoQW_`fgwpd789:Tot28>368RBGCz`|n~"C|uc][[dbc{|h;<=>Pcx>4:Zts:81]KLJ}iwgq+HurjVRTmij|uc2345Ydq525>?5YG@Fqmscu'Dy~nRVPaefpqg6789Ugyy2=>3:8RBGCz`|n~"C|uc][[dbc{|h;<=>Pltv?6;YNF_U;>?5YG@Fqmscu'Dy~nRVPaefpqg6789Ugyy2<>3:8RBGCz`|n~"C|uc][[dbc{|h;<=>Pltv?7;YNF_U;>?5YG@Fqmscu'Dy~nRVPaefpqg6789Ugyy2:>3:8RBGCz`|n~"C|uc][[dbc{|h;<=>Pltv?1;YNF_U;>?5YG@Fqmscu'Dy~nRVPaefpqg6789Ugyy29>3:8RBGCz`|n~"C|uc][[dbc{|h;<=>Pltv?2;YNF_U;>?5YG@Fqmscu'Dy~nRVPaefpqg6789Ugyy27>3:8RBGCz`|n~"C|uc][[dbc{|h;<=>Pltv?<;YNF_U;>?5YG@Fqmscu'Dy~nRVPaefpqg6789Ugyy26>3:8RBGCz`|n~"C|uc][[dbc{|h;<=>Pltv?=;YNF_U;>?5YG@Fqmscu'Dy~nRVPaefpqg6789Usc2>>308RBGCz`|n~"C|uc][[dbc{|h;<=>Pxnp?6;453_MJHgyes-NwpdXPVkoh~{m0123[}iu4:49>6XHAEpjr`t(EziSUQndeqvf5678Vrd~1:1239UCDBuaoy#@}zb^Z\eabt}k:;<=Qwos>6:74<^NKO~dxjr.OpqgY_Whnoxl?012\|jt;>7897[INDskuaw)J{|hTTRokdrwa4567Wqey0:0=2:TDEAtn~lx$A~{m_Y]b`aurj9:;?01]{kw:>6;;0ZJOKrhtfv*Kt}kURSljkst`3456Xkp6:2?:4VFCGvlpbz&GxyoQV_`fgwpd789:Tot2>>^pw64=QOHNye{k}/LqvfZ_Ximnxyo>?01]`}949:81]KLJ}iwgq+HurjVSTmij|uc2345Ydq595><5YG@Fqmscu'Dy~nRWPaefpqg6789Uhu1:1209UCDBuaoy#@}zb^[\eabt}k:;<=Qly=7=61=QOHNye{k}/LqvfZ_Ximnxyo>?01]`}939W{~9=6XHAEpjr`t(EziSTQndeqvf5678Vir0;0=1:TDEAtn~lx$A~{m_X]b`aurj9:;P@IMxbzh| Mrwa[\Yflmy~n=>?0^az8=85:2\LMI|fvdp,IvseWPUjhi}zb1234Zjr|585>55YG@Fqmscu'Dy~nRWPaefpqg6789Ugyy2=>^KMRZ65:2\LMI|fvdp,IvseWPUjhi}zb1234Zjr|595>55YG@Fqmscu'Dy~nRWPaefpqg6789Ugyy2<>^KMRZ65:2\LMI|fvdp,IvseWPUjhi}zb1234Zjr|5>5>55YG@Fqmscu'Dy~nRWPaefpqg6789Ugyy2;>^KMRZ65:2\LMI|fvdp,IvseWPUjhi}zb1234Zjr|5<5>55YG@Fqmscu'Dy~nRWPaefpqg6789Ugyy29>^KMRZ65:2\LMI|fvdp,IvseWPUjhi}zb1234Zjr|5=5>55YG@Fqmscu'Dy~nRWPaefpqg6789Ugyy28>^KMRZ65:2\LMI|fvdp,IvseWPUjhi}zb1234Zjr|525>55YG@Fqmscu'Dy~nRWPaefpqg6789Ugyy27>^KMRZ65:2\LMI|fvdp,IvseWPUjhi}zb1234Zjr|535>55YG@Fqmscu'Dy~nRWPaefpqg6789Ugyy26>^KMRZ65:2\LMI|fvdp,IvseWPUjhi}zb1234Z~hz5;5>?5YG@Fqmscu'Dy~nRWPaefpqg6789Usc2=>308RBGCz`|n~"C|uc]Z[dbc{|h;<=>Pxnp?7;453_MJHgyes-NwpdXQVkoh~{m0123[}iu4=49>6XHAEpjr`t(EziSTQndeqvf5678Vrd~1;1239UCDBuaoy#@}zb^[\eabt}k:;<=Qwos>5:74<^NKO~dxjr.OpqgY^Whnoxl?012\|jt;?7897[INDskuaw)J{|hTURokdrwa4567Wqey050=2:TDEAtn~lx$A~{m_X]b`aurj9:;P@IMxbzh| N032`>P@IMxbzh| N00`?SAFL{c}i!A4b9UCDBuaoy#C6>0:TDEAtn~lx$^JL37?d8RBGCz`|n~"\HB^527>P@IMxbzh| Rdqvhq:768>0ZJOKrhtfv*Tb{|f0=0>129UCDBuaoy#_k|umv?5;733_MJHgyes-Qavsk|5;5=<=4VFCGvlpbz&Xnxb{<3<20>P@IMxbzh| Rdqvhq:568;87[INDskuaw)Umzgx1=1159UCDBuaoy#_k|umv?7;76;2\LMI|fvdp,V`urd}6?2<:4VFCGvlpbz&Xnxb{<5<256=QOHNye{k}/Sgpqir;=7;?7[INDskuaw)Umzgx1;11018RBGCz`|n~"\jstnw8386<2\LMI|fvdp,V`urd}6=2068RBGCz`|n~"\jstnw8=869:1]KLJ}iwgq+Wct}e~753?;;WEB@woqm{%Yi~{ct=;=5f=QOHNye{k}/WQ20>P@IMxbzh| VR]bja6789;>7[INDskuaw)Q[Vkeh=>?0034?SAFL{c}i!YS^cm`5678Vl>n6XHAEpjr`t(_890ZJOKrhtfv*QXff~;<=?>4:TDEAtn~lx$[R``t12354c<^NKO~dxjr.Z?4;c<^NKO~dxjr.Z?5;c<^NKO~dxjr.Z?6;c<^NKO~dxjr.Z?7;c<^NKO~dxjr.Z?0;c<^NKO~dxjr.Z?1;c<^NKO~dxjr.Z?2;c<^NKO~dxjr.Z?3;c<^NKO~dxjr.Z?<;c<^NKO~dxjr.Z?=;b<^NKO~dxjr.Z\4a=QOHNye{k}/Y]2`>P@IMxbzh| X^0g?SAFL{c}i!W_2f8RBGCz`|n~"VP4e9UCDBuaoy#UQ:d:TDEAtn~lx$TR8k;WEB@woqm{%SS:j4VFCGvlpbz&RT4i5YG@Fqmscu'QU2=;5YG@Fqmscu'QUjhi>?01>3:40<^NKO~dxjr.Z\eab789:7=3?9;WEB@woqm{%SSljk01238786>2\LMI|fvdp,\Zgcl9:;<1=1179UCDBuaoy#UQnde2345:368<0ZJOKrhtfv*^Ximn;<=>35?35?SAFL{c}i!W_`fg45674?4::6XHAEpjr`t(PVkoh=>?0=5=53=QOHNye{k}/Y]b`a6789632<84VFCGvlpbz&RTmij?012?=;7>3_MJHgyes-[[dbc{|h;<=>31?3:?SAFL{c}i!W_`fgwpd789:7>3?6;WEB@woqm{%SSljkst`3456;;7;27[INDskuaw)_Whnoxl?012?0;7>3_MJHgyes-[[dbc{|h;<=>35?3:?SAFL{c}i!W_`fgwpd789:7:3?6;WEB@woqm{%SSljkst`3456;?7;27[INDskuaw)_Whnoxl?012?<;7>3_MJHgyes-[[dbc{|h;<=>39?30?SAFL{c}i!W_`lg45679>1]KLJ}iwgq+]Yffm:;<=?>1035?SAFL{c}i!W_`lg4567988::6XHAEpjr`t(PVkeh=>?000553=QOHNye{k}/Y]bja6789;?=<;4VFCGvlpbz&RTmcj?0122142<^NKO~dxjr.Z\ekb789:9=85YG@Fqmscu'QUjbi>?01045==QOHNye{k}/Y]bja67898T_Z>>5:TDEAtn~lx$TRoad1234166=2\LMI|fvdp,\Zgil9:;<8:>5:TDEAtn~lx$TRoad1234216=2\LMI|fvdp,\Zgil9:;<5:>6:TDEAtn~lx$TRoad1234=26911]KLJ}iwgq+]Yffm:;<=6;_g721>P@IMxbzh| X^cm`567808n7[INDskuaw)^494n7[INDskuaw)^484n7[INDskuaw)^4;4n7[INDskuaw)^4:4n7[INDskuaw)^4=4n7[INDskuaw)^4<4n7[INDskuaw)^4?4n7[INDskuaw)^4>4n7[INDskuaw)^414n7[INDskuaw)^404o7[INDskuaw)^W9n0ZJOKrhtfv*_X9m1]KLJ}iwgq+\Y5l2\LMI|fvdp,]Z5c3_MJHgyes-Z[1b<^NKO~dxjr.[\1a=QOHNye{k}/X]5`>P@IMxbzh| Y^5g?SAFL{c}i!V_9f8RBGCz`|n~"WP9048RBGCz`|n~"WPaef3456;87;=7[INDskuaw)^Whno<=>?<0<22>P@IMxbzh| Y^cg`5678585=;5YG@Fqmscu'PUjhi>?01>0:40<^NKO~dxjr.[\eab789:783?9;WEB@woqm{%RSljk01238086>2\LMI|fvdp,]Zgcl9:;<181179UCDBuaoy#TQnde2345:068<0ZJOKrhtfv*_Ximn;<=>38?35?SAFL{c}i!V_`fg4567404:56XHAEpjr`t(QVkoh~{m0123848612\LMI|fvdp,]Zgclzi<=>?<3<2=>P@IMxbzh| Y^cg`vse89:;0>0>9:TDEAtn~lx$URokdrwa45674=4:56XHAEpjr`t(QVkoh~{m0123808612\LMI|fvdp,]Zgclzi<=>?<7<2=>P@IMxbzh| Y^cg`vse89:;0:0>9:TDEAtn~lx$URokdrwa4567414:56XHAEpjr`t(QVkoh~{m01238<86:2\LMI|fvdp,ljtnr5:5=?5YG@Fqmscu'aeyew2>>008RBGCz`|n~"f`rhx?6;753_MJHgyes-kkwo}4:4:>6XHAEpjr`t(`fxbv1:1139UCDBuaoy#ea}i{>6:44<^NKO~dxjr.jlvl|;>7;97[INDskuaw)og{cq0:0>2:TDEAtn~lx$db|fz=:=57=QOHNye{k}/imqm:>68;0ZJOKrhtfv*nhz`pT<3:47<^NKO~dxjr.tfvq:668;0ZJOKrhtfv*pbz}692038RBGCz`|n~"xjru>7:47<^NKO~dxjr.tfvq:268;0ZJOKrhtfv*pbz}6=2038RBGCz`|n~"xjru>;:47<^NKO~dxjr.tfvq:>68:0ZJOKrhtfv*pbz}U;==5YG@Fqmscu'oyxR?>0:TDEAtn~lx$zh|{_333?SAFL{c}i!yesv\746<^NKO~dxjr.tfvqY3991]KLJ}iwgq+scu|V?:<6XHAEpjr`t(~lxS;??;WEB@woqm{%}izP7028RBGCz`|n~"xjru];55=QOHNye{k}/wgqpZ?612\LMI|fvdp,r`tsWjg{Sh?0122e>P@IMxbzh| vdpw[fkwWl{;<=>>1b9UCDBuaoy#{k}t^antZcv89:;Sk;>9:TDEAtn~lx$zh|{_bos[`w789;:m6XHAEpjr`t(~lxSnc_ds3457612\BIZQ[YQG1?SU03_YTCO[I1e9[MIOIP$RON->!1!QWQG&7&8*J_NGF3:ZLV0=_G[IR:6V\TMKA3>^T\VMEH:5WSU]UGF05Wsu68^@TH>2iot1=16:ag|929>2iot1;15:ag|Z523jnsS9;4cez\1`=dlqUfyu>?03>0:c=dlqUfyu>?03>0:4c2iou1<16:ag}959>2iou1:16:ag}939=2iouR<:;bfz[63ecqVey<=>?c:ag}Ziu89:;>9m4ce{\kw678990;;7njv_np345611VY\<6mky^mq4567>0UX[=!@109``|Yhz9:;<;7PSV335f=dlpUd~=>?07;\WR77'E__>RBPBTD27>ecqVey<=>?68]PS46(G8;0oiwPos23450>WZ]:=1.NVP1YKWK_M=>5ldx]lv5678?3T_Z?>/N32?fb~Wfx;<=>99^QT577d3jnrSb|?0125=ZUP9;%GYY:PL^@VB45?014:[VQ6:&E:=6mky^mq4567>0UX[<=>c:ag}Ziu89:;:4Q\W01,HPR3WEUIYK?<;bfz[jt789:=5R]X12-L54=dlpUd~=>?07;\WR739j1hhtQ`r12343?X[^;?#A[[4^N\FP@6;2iouRa}01232$C50a8gaXg{:;<=86_RU21*JR\;UGSO[I129``|Yhz9:;<;7PSV36+J773jnrSb|?0125=ZUP:8h0oiwPos23450>WZ]9#A[[2^N\FP@6:2iouRa}01232b:ag}Ziu89:;:4Q\W5-OQQ4XDVH^J<<4ce{\kw6789<2S^Y;/N33?fb~Wfx;<=>99^QT24d?014:[VQ1'E__>RBPBTD26>ecqVey<=>?68]PS3)H991hhtQ`r12343?X[^=:n6mky^mq4567>0UX[:!CUU0\HZDRN880oiwPos23450>WZ]<#B??;bfz[jt789:=5R]X80`8gaXg{:;<=86_RU;+ISS:VFTNXH>2:ag}Ziu89:;:4Q\W9-L55=dlpUd~=>?07;\WR?6j2iouRa}01232?014:[VQ>'Fh0oiwPos2345>6>2iouRa}0123<*JR\:UGSO[Id:ag}Ziu89:;4"Aj;bfz[vse89:;0?0j;bfz[vse89:;0>0j;bfz[vse89:;090j;bfz[vse89:;080:;bz?4;02:0=dp58596mw<2<6?f~;<7?0ou2:>49`|909=2is0:0:;bz?<;3eW1>0ouQ65:az85813js7==0:;b{?5;3e~4=4>7nw35?78g|:16<1hu1915:az8=823js753:4cx]30>e~W8?0otQ>059`}Z433jsT?95ly^67?fX==1huR8;;b{\31=dqV2?7nwP999kt`t;984<7e~jr^3257=oxlxTmij?012?558698;0d}k}_`fg456748:5><<4hqgq[dbc89:;0<>12036?mvbzVkoh=>?0=33:Zdcl9;?7e~jr^cg`56785;;2Rgavg9kt`tXimn;<=>35?33?mvbzVkoh=>?0=7=541<`yoySljk012380869VCEZR>>6:jsawYflm:;<=2:>0]JJSY7n2b{iQnde2345:168:0d}k}_`fg45674?4:=<5gpdp\eab789:7:3?>f:jsawYflm:;<=28>g9kt`tXimn;<=>38?d8lucuWhno<=>?<8<24>nwm{Ujhi>?01>::4763azn~Rokd12349?998;97e~jr^cg`56795;;21:jsawYflm:;<<2>0?026>nwm{Ujhi>?00>24;469<1c|h|Paef3457;994Tnij?159kt`tXimn;<=?311<\mkpa3azn~Rokd1235939991c|h|Paef3457;=7;:;6fes]b`a67886>20:jsawYflm:;<<29>032?mvbzVkoh=>?1=4=54`<`yoySljk0122828a3azn~Rokd12359>9n2b{iQnde2344:>68:0d}k}_`fg4566404:=<5gpdp\eab789;753?>c:jsawYffm:;<=j4hqgq[dhc89:;=h5gpdp\ekb789:T=h5gpdp\ekb789:T><74hqgq[dhc89:;S?!CUU6\@ZDRN8>0d}k}_`lg4567WZ];S^Y?159kt`tXign;<=>PSV2\WR76n2b{iQnne2345YT_9UX[/N33?mvbzVg~t=>?0=31:47<`yoyS`{w012384499j1c|h|Pnnv3457c3azn~R``t12354733azn~R}zb12349776Vcezk5gpdp\wpd789:743h4hqgq[vse89:;040>4:jsawYt}k:;<<2>0?]jjs`<`yoyS~{m01228=8a3azn~R}zb12359?902ce~jl37?58mkt`jV=:<6garf`\ghvXol:;<>??;hlqcgYdeyUli=>?5028mkt`jVif|Rij012555=nf{miSnc_fg3451682ce~jlPclr\h`678:;;7d`}gc]`iuYkm9:;9<>4iopdfZejxVfn<=>9119jjwaeWjg{Sak?01524>oiznhTaxv?016?4;753`dykoQbuy2341:768>:?6garf`\ip~789>7<3<>6058mkt`jVg~t=>?4=2=640X[^::46garf`\ip~789>7<3<>6^QT447?3`dykoQbuy2341:76;;=S^Y?20c8mkt`jVg~t=>?4=2=640X[^:Tj8?<;hlqcgYj}q:;<92?>35356=nf{miS`{w01278585?8;87d`}gc]nq}678=6;2?6:129jjwaeWds<=>;<1<1=<753`dykoQbuy2341:76::::6garf`\ip~789>7<3=?_RU356=nf{miS`{w01278584;>;<7d`}gc]nq}678=6;2>=8_RU356=nf{miS`{w01278584=:;<7d`}gc]nq}678=6;2>;<_RU35==nf{miS`{w01278584=:UX[=?>8:kmvbdXe|r;<=:30?167ZUP8;;j7d`}gc]nq}678=6;2>;<_RU3[c36;2ce~jlPmtz3452;87>9=<<4iopdfZkrp9:;81>19337?lhuokUfyu>?05>3:<4XN8>0ec|hb^ov|567<5:55?QH5:ns85813ez7==0:;mr?5;3jw4=4>7a~35?78hu:16<1g|1915:ns8=823ez753:4lq]30>jwW8?0`}Q>059otZ433ezT?95cp^67?ivX=?1g|R;P159otZ033ezT;95cp^:7?ivX1m1g|Rmbp^nf4567981g|Rmbp^nf4567W`d}=55cp^antZjb89:;Sd`y2^KMRZ6f3ezTbbz?010a?ivXff~;<=<>d:ns[vse89:;0=0j;mr\wpd789:7==0k;mr\wpd789:7=3j4lq]pqg6789692i5cp^qvf5678595h6b_rwa45674=4o7a~Pst`3456;=7n0`}Q|uc2345:16m1g|R}zb1234919l2f{S~{m01238=8c3ezTxl?012?=;2vumzij`h|3111?f8twctkhfn~1?=>e9sv`udieoy0<=1d:rqavefdlx7=90k;qpfwfgkm{6:93j4psgpgdjbz5;=2i5rdq`eicu48=5h6~}erabh`t;914h7}|jsbcoaw:66j1{~h}lamgq878d3yxnnoces>0:f=wzlyhmak}<5<`?utb{jkgi2:>b9sv`udieoy0;0l;qpfwfgkm{6<2n5rdq`eicu414h7}|jsbcoaw:>6k1{~h}lamgq[5d0b9sv`udieoySn5rdq`eicuW89h7}|jsbcoawY68c9sv`udieoyS?l4psgpgdjbzV9i7}|jsbcoawY3j2zyi~mnldp\1g=wzlyhmak}_7`8twctkhfn~R9m;qpfwfgkm{U3n6~}erabh`tX18?0|k|c`nfvZgcl9:;<1??>0;8twctkhfn~Rokd12349776Vcez?0=33:Zoi~VCEZR>>4:rqavefdlxTmij?012?5;733yxnnoces]b`a6789692<:4psgpgdjbzVkoh=>?0=1=51=wzlyhmak}_`fg45674=4:86~}erabh`tXimn;<=>35?37?utb{jkgiQnde2345:168>0|k|c`nfvZgcl9:;<191159sv`udieoySljk01238=86<2zyi~mnldp\eab789:753?:;qpfwfgkm{Ujhi>?00>24;7>3yxnnoces]b`a67886:<3Qfnw3e?utb{jkgiQnde2344:687Ubb{QFNW]351=wzlyhmak}_`fg4566484:86~}erabh`tXimn;<=?32?37?utb{jkgiQnde2344:468>0|k|c`nfvZgcl9:;=1:1159sv`udieoySljk01228086<2zyi~mnldp\eab789;7:3?;;qpfwfgkm{Ujhi>?00>4:42><8<21>vumzij`h|Paef3454;994:86~}erabh`tXimn;<=<31?37?utb{jkgiQnde2347:568>0|k|c`nfvZgcl9:;>1=1159sv`udieoySljk01218186<2zyi~mnldp\eab7898793?;;qpfwfgkm{Ujhi>?03>5:42=<9<20>vumzij`h|Paef3454;17;>7}|jsbcoawYflm:;<>2>0?37?utb{jkgiQnde2346:668>0|k|c`nfvZgcl9:;?1<1159sv`udieoySljk01208686<2zyi~mnldp\eab7899783?;;qpfwfgkm{Ujhi>?02>6:42<<6<20>vumzij`h|Paef3455;07;?7}|jsbcoawYflm:;<>26>058twctkhfn~Rokdrwa4567494:46~}erabh`tXimnxyo>?01>24;7?3yxnnoces]b`aurj9:;<1?>>0:8twctkhfn~Rokdrwa45674885=55rdq`eicuWhnoxl?012?568602zyi~mnldp\eabt}k:;<=2>4?3;?utb{jkgiQndeqvf56785;>2<64psgpgdjbzVkoh~{m01238409911{~h}lamgq[dbc{|h;<=>316<2<>vumzij`h|Paefpqg67896:43?8;qpfwfgkm{Ujhi}zb12349799>1{~h}lamgq[dbc{|h;<=>32?34?utb{jkgiQndeqvf5678595=:5rdq`eicuWhnoxl?012?0;703yxnnoces]b`aurj9:;<1;1169sv`udieoySljkst`3456;>7;<7}|jsbcoawYflmy~n=>?0=5=52=wzlyhmak}_`fgwpd789:743?8;qpfwfgkm{Ujhi}zb12349?9981{~h}lamgq[dhc89:;=95rdq`eicuWjg{Sjk?01320>vumzij`h|Pclr\c`678:;?7}|jsbcoawYdeyUli=>?4068twctkhfn~Rmbp^nf45679=1{~h}lamgq[fkwWeo;<=?>4:rqavefdlxTo`~Pld2347733yxnnoces]`iuYkm9:;?<:4psgpgdjbzVif|Rbj012651=wzlyhmak}_lw{4567494:m6~}erabh`tXe|r;<=>30?32[VQ79k1{~h}lamgq[hs89:;0=0>1^QT557e3yxnnoces]nq}67896;2?01>3:47X[^;>=o5rdq`eicuWds<=>?<1<25ZUP9?;i7}|jsbcoawYj}q:;<=2?>03\WR709k1{~h}lamgq[hs89:;0=0>1^QT5=7e3yxnnoces]nq}67896;2>b:rqavefdlxTaxv?012?4;76WZ]9=?0=2=54YT_;8:n6~}erabh`tXe|r;<=>30?32[VQ5;8h0|k|c`nfvZkrp9:;<1>110]PS726j2zyi~mnldp\ip~789:7<3?>_RU114ga:rqavefdlxTaxv?012?4;76WZ]<=l5rdq`eicuWds<=>?<1<25ZUP08k0|k|c`nfvZkrp9:;<1>110]PS<723yxnnoces]nq}67896;2??9;qpfwfgkm{Ufyu>?01>24;76?2zyi~mnldp\ip~789:7==0>1048twctkhfn~Rczx123497668;<7}|jsbcoawYj}q:;<=2>1?3253=wzlyhmak}_lw{45674885=<94psgpgdjbzVg~t=>?0=31:476>2zyi~mnldp\ip~789:7=>0>169sv`udieoyS`{w0123845998;=7}|jsbcoawYj}q:;<=2>4?323>vumzij`h|Pmtz3456;9=4:=<84psgpgdjbzVg~t=>?0=36:4703yxnnoces]nq}67896:93?>179sv`udieoyS`{w0123840998=0|k|c`nfvZkrp9:;<1?9>0322>vumzij`h|Pmtz3456;9>4:=:5rdq`eicuWds<=>?<05=54713yxnnoces]nq}67896:43?>7:rqavefdlxTaxv?012?5=8698?0|k|c`nfvZkrp9:;<1?11048twctkhfn~Rczx123497998;?7}|jsbcoawYj}q:;<=2=>078twctkhfn~Rczx123494998<0|k|c`nfvZkrp9:;<1<11036?utb{jkgiQbuy2345:468;=7}|jsbcoawYj}q:;<=2<>0321>vumzij`h|Pmtz3456;<7;::6~}erabh`tXe|r;<=>34?3250=wzlyhmak}_lw{45674<4:=;5rdq`eicuWds<=>?<4<2543?0=4=54723yxnnoces]nq}67896<2?01>4:476=2zyi~mnldp\ip~789:743?>6:rqavefdlxTaxv?012?<;769<1{~h}lamgq[hs89:;040>179sv`udieoyS`{w01238<8698>0|k|c`nfvZkrp9:;>1>1149sv`udieoyS`{w012185869<1{~h}lamgq[hs89:90<>1179sv`udieoyS`{w0121846998?0|k|c`nfvZkrp9:;>1?>>048twctkhfn~Rczx123697668;>7}|jsbcoawYj}q:;2?35?utb{jkgiQbuy2347:6:7;:96~}erabh`tXe|r;<=<312<22>vumzij`h|Pmtz3454;9:4:=85rdq`eicuWds<=>=<06=53=wzlyhmak}_lw{456548>5=<;4psgpgdjbzVg~t=>?2=36:402?03>22;713yxnnoces]nq}678;6::3?>5:rqavefdlxTaxv?010?5286>2zyi~mnldp\ip~78987=:0>149sv`udieoyS`{w012184>99?1{~h}lamgq[hs89:90<611068twctkhfn~Rczx12369799<1{~h}lamgq[hs89:90<0>159sv`udieoyS`{w01218786=2zyi~mnldp\ip~78987>3?>4:rqavefdlxTaxv?010?7;723yxnnoces]nq}678;682?03>7:435=<:4psgpgdjbzVg~t=>?2=7=50=wzlyhmak}_lw{45654<4:=95rdq`eicuWds<=>=<7<21>vumzij`h|Pmtz3454;>7;:86~}erabh`tXe|r;<=<37?36?utb{jkgiQbuy2347:068;?7}|jsbcoawYj}q:;078twctkhfn~Rczx12369>998>0|k|c`nfvZkrp9:;>171149sv`udieoyS`{w01218<86981{~h}lamgq[kis89::=85rdq`eicuWge<=>>_RU365=wzlyhmak}_omw4566WZ];#A[[2^N\FP@6?2zyi~mnldp\jjr789;T_Z> O028twctkhfn~Ra}012351=wzlyhmak}_np3456X[^::j6~}erabh`tXg{:;<=Q\W1-OQQ4XLVH^J<:4psgpgdjbzVey<=>?_RU25c=wzlyhmak}_np3456X[^;$@XZ=_E]AQC723yxnnoces]pqg67896:<3?6;qpfwfgkm{Uxyo>?01>24;Ynf;m7}|jsbcoawYt}k:;<=2>0?]jjsYNF_U;=95rdq`eicuWzi<=>?<0<20>vumzij`h|Pst`3456;:7;?7}|jsbcoawYt}k:;<=2<>068twctkhfn~R}zb12349299=1{~h}lamgq[vse89:;080>4:rqavefdlxTxl?012?2;733yxnnoces]pqg67896<2<:4psgpgdjbzVy~n=>?0=:=51=wzlyhmak}_rwa4567404:96~}erabh`tX{|h;<=?311<2=>vumzij`h|Pst`3457;994Tecx>f:rqavefdlxTxl?013?558Xag|TECXP0068twctkhfn~R}zb12359799=1{~h}lamgq[vse89::0?0>4:rqavefdlxTxl?013?7;733yxnnoces]pqg67886?2<:4psgpgdjbzVy~n=>?1=7=51=wzlyhmak}_rwa45664?4:86~}erabh`tX{|h;<=?37?37?utb{jkgiQ|uc2344:?68>0|k|c`nfvZurj9:;=171149sv`udieoyS~{m012184699=1{~h}lamgq[vse89:90<0>4:rqavefdlxTxl?010?6;733yxnnoces]pqg678;682<:4psgpgdjbzVy~n=>?2=6=51=wzlyhmak}_rwa45654<4:86~}erabh`tX{|h;<=<36?37?utb{jkgiQ|uc2347:068>0|k|c`nfvZurj9:;>161159sv`udieoyS~{m01218<86=2zyi~mnldp\wpd78997==0>4:rqavefdlxTxl?011?5;733yxnnoces]pqg678:692<:4psgpgdjbzVy~n=>?3=1=51=wzlyhmak}_rwa45644=4:86~}erabh`tX{|h;<==35?37?utb{jkgiQ|uc2346:168>0|k|c`nfvZurj9:;?191159sv`udieoyS~{m01208=86<2zyi~mnldp\wpd7899753;4rq>3:3=ux5;;285}p=3=1>tw4;4>7~33?78vu:36<1y|1;15:ps83823{z7;3;4rq>;:0=ux53586|_168vuY6=2x{S<>;;sr\61=uxV9?7~P459qtZ313{zT9R?;;sr\21=uxV=?7~P859qtZ?c3{zTo`~Pld2345763{zTo`~Pld2345Ynf;37~Pclr\h`6789Ubb{?2c9qtZhh|9:;>?01>;:a=uxVy~n=>?0=;=7>uhfk1xccQbuy2345e<{fdTaxv?0122f>uhfVddx=>?1e9pkkYig}:;<<94snl\jjr789;9#A[[3^N\FP@b3zeeSca{01226*Ic3zeeSca{0122647?3zeeSca{012264)K]]>THRLZFb9pkkYig}:;<<=k;rmm[kis89::?:m4snl\jjr789;?i6}`n^llp5679=;:=45|oo]mkq6788>:="BZT2]O[GSA991xccQaou2344269&E:>6}`n^llp5679=;:S^Y?139pkkYig}:;<<;>1^QT4`=tggUecy>?00710a=tggUecy>?00775==tggUecy>?0077+ISS;R?>b:qljZhh|9:;=8;8_0-OQQ2XLVH^J45|p`pwek5b02rb`d`wuo3;?}jipVk~xbQ?_yfa+fus&qhhhpNOp34a1>FGp>nj6K4;:0yP02<1m?0?8<4>320:e`<4<=2jwc8j4;38j3c22?1/:ih56e;8yV212?o=69:>:0106?nl5\9984`g<62898>4oj:267fa=T:0106?nk5k7ec94?7=9rY?;78j6;675?74;;3ji7=;49c8 6e=1;?0D9l4vU6e>5<6280>m9u\4685a3<3<80:?><6ad8001>f3-{#<=<1=55+5383?!37208<7);>:6a8f2bf290:87;m6;7b7~N2>81/98h57ec8^6b=:r8h6?l5}h60>5<=h<=h<<91<7*;498713=i<==1?65`44094?"3<10?9;5a45590>=h<<;1<7*;498713=i<==1965`44294?"3<10?9;5a45592>=h<=l1<7*;498713=i<==1;65`8e83>!23032h7c:;7;28?j>e290/89658b9m011=921d4l4?:%67d3g>?;7<4;n::>5<#<=214n5a45597>=h010;6):;8;:`?k23?3>07b68:18'01>=0j1e89955:9l<3<72->?476l;o673?0<3f2>6=4+45:902>;5`6683>!0b:3>8j65rb7ff>5<6290;w);:f;756>N1lj1C9;?4$7g1>15b3-3<64<9;n674?6=,?o969=i;:a2`d=83<1<7>t$47e>01<@?nh7E;91:&4e?4<,?o968h4H56f?!?0208=7d=j:18'2`4=<:10e9650;&5a7<0>21d89m50;&5a7<3;o10e9>50;&5a7<3821b89l50;&5a7<31910e9;50;&5a7<3>810qo8jc;292?6=8r.>9k4:7:J5`f=O=?;0(:o52:&5a7<2n2B?8h5+968:63=n;l0;6)8j2;60?>o303:1(;k=:648?j23k3:1(;k=:51e?>o383:1(;k=:528?l23j3:1(;k=:5;3?>o3=3:1(;k=:542?>{e>ln1<7850;2x 03a2<=0D;jl;I755>"0i380(;k=:4d8L12b3-3<64<9;h1f>5<#>l818>54i5:94?"1m;0<:65`45a94?"1m;0??k54i5294?"1m;0?<65f45`94?"1m;0?5=54i5794?"1m;0?:<54}c4e3:1N1lj1C9;?4$6c96>"1m;0>j6F;4d9'=2<>:?1b?h4?:%4f6?2432c?<7>5$7g1>16<3`>36=4+6d0933=h6=4+6d0906`<3`>?n7>5$7g1>1?732c?97>5$7g1>10632wi:k750;494?6|,0`<@=>n7)78:805?l5b290/:h<54298m16=83.=i?4;0:9j0=<72-799;:m70f<72-7:50z&61c<202B=hn5G5738 2g=:2.=i?4:f:J70`=#1>02>;5f3d83>!0b:3>876g;0;29 3c52=:07d:7:18'2`4=??10c9:l:18'2`4=<:l07d:;b;29 3c52=3;76g;5;29 3c52=<:76sm6g`94?0=83:p(8;i:4:8L3bd3A?==6*8a;08 3c52<413`9n6=4+6d0906==n<10;6)8j2;55?>i3=n<=h1<7*9e387=5=n7>58;294~"2=o0>m6F9db9K137<,>k1>6*9e387=4=O<=o0(4959348m6c=83.=i?4;3:9j05<72-7:?;:k77:==7>5$7g1>1063A?=<65f49g94?"1m;0?5954}c;3e?6=>3:1N1lj1C9;?4$6c96>"1m;0>j6F;4d9'=2<>:?1b?h4?:%4f6?2432c?<7>5$7g1>16<3`>36=4+6d0933=h6=4+6d0906`<3`>?n7>5$7g1>1?732c?97>5$7g1>10632wi;hh50;494?6|,0`<@=>n7)78:805?l5b290/:h<54298m16=83.=i?4;0:9j0=<72-799;:m70f<72-7:50z&61c<202B=hn5G5738 2g=:2.=i?4:f:J70`=#1>02>;5f3d83>!0b:3>876g;0;29 3c52=:07d:7:18'2`4=??10c9:l:18'2`4=<:l07d:;b;29 3c52=3;76g;5;29 3c52=<:76sm7gc94?0=83:p(8;i:4:8L3bd3A?==6*8a;08 3c52<413`9n6=4+6d0906==n<10;6)8j2;55?>i3=n<=h1<7*9e387=5=56;294~"2=o0>46F9db9K137<,>k1>6*9e386b>N37:<;:k74?6=,?o969>4;h6;>5<#>l81;;54o56`>5<#>l818>h4;h67f?6=,?o9697?;:k71?6=,?o9698>;:a3ce=83<1<7>t$47e>0><@?nh7E;91:&4e?4<,?o968h4H56f?!?0208=7d=j:18'2`4=<:10e9>50;&5a7<3821b854?:%4f6?1132e?8n4?:%4f6?24n21b89l50;&5a7<31910e9;50;&5a7<3>810qo9id;292?6=8r.>9k4:8:J5`f=O=?;0(:o52:&5a7<2n2B?8h5+968:63=n;l0;6)8j2;60?>o383:1(;k=:528?l2?290/:h<57798k12d290/:h<542d8?l23j3:1(;k=:5;3?>o3=3:1(;k=:542?>{e?oo1<7850;2x 03a2<20D;jl;I755>"0i380(;k=:4d8L12b3-3<64<9;h1f>5<#>l818>54i5294?"1m;0?<65f4983>!0b:3==76a;4b83>!0b:3>8j65f45`94?"1m;0?5=54i5794?"1m;0?:<54}c5eb?6=>3:1N1lj1C9;?4$6c96>"1m;0>j6F;4d9'=2<>:?1b?h4?:%4f6?2432c?<7>5$7g1>16<3`>36=4+6d0933=h6=4+6d0906`<3`>?n7>5$7g1>1?732c?97>5$7g1>10632wi4=>50;494?6|,0`<@=>n7)78:805?l5b290/:h<54298m16=83.=i?4;0:9j0=<72-799;:m70f<72-7:793:1:7>50z&61c<202B=hn5G5738 2g=:2.=i?4:f:J70`=#1>02>;5f3d83>!0b:3>876g;0;29 3c52=:07d:7:18'2`4=??10c9:l:18'2`4=<:l07d:;b;29 3c52=3;76g;5;29 3c52=<:76sm7g394?0=83:p(8;i:4:8L3bd3A?==6*8a;08 3c52<413`9n6=4+6d0906==n<10;6)8j2;55?>i3=n<=h1<7*9e387=5=7>56;294~"2=o0>46F9db9K137<,>k1>6*9e386b>N37:<;:k74?6=,?o969>4;h6;>5<#>l81;;54o56`>5<#>l818>h4;h67f?6=,?o9697?;:k71?6=,?o9698>;:a3c5=83<1<7>t$47e>0><@?nh7E;91:&4e?4<,?o968h4H56f?!?0208=7d=j:18'2`4=<:10e9>50;&5a7<3821b854?:%4f6?1132e?8n4?:%4f6?24n21b89l50;&5a7<31910e9;50;&5a7<3>810qo9i4;292?6=8r.>9k4:8:J5`f=O=?;0(:o52:&5a7<2n2B?8h5+968:63=n;l0;6)8j2;60?>o383:1(;k=:528?l2?290/:h<57798k12d290/:h<542d8?l23j3:1(;k=:5;3?>o3=3:1(;k=:542?>{e?o?1<7850;2x 03a2<20D;jl;I755>"0i380(;k=:4d8L12b3-3<64<9;h1f>5<#>l818>54i5294?"1m;0?<65f4983>!0b:3==76a;4b83>!0b:3>8j65f45`94?"1m;0?5=54i5794?"1m;0?:<54}c5e2?6=>3:1N1lj1C9;?4$6c96>"1m;0>j6F;4d9'=2<>:?1b?h4?:%4f6?2432c?<7>5$7g1>16<3`>36=4+6d0933=h6=4+6d0906`<3`>?n7>5$7g1>1?732c?97>5$7g1>10632wi;k950;494?6|,0`<@=>n7)78:805?l5b290/:h<54298m16=83.=i?4;0:9j0=<72-799;:m70f<72-7:50z&61c<202B=hn5G5738 2g=:2.=i?4:f:J70`=#1>02>;5f3d83>!0b:3>876g;0;29 3c52=:07d:7:18'2`4=??10c9:l:18'2`4=<:l07d:;b;29 3c52=3;76g;5;29 3c52=<:76sm7g;94?0=83:p(8;i:4:8L3bd3A?==6*8a;08 3c52<413`9n6=4+6d0906==n<10;6)8j2;55?>i3=n<=h1<7*9e387=5=56;294~"2=o0>;6F9db9K137<,>k1>6*9e386b>N37:<;:k74;h67f?6=,?o9697?;:k71?6=,?o9698>;:a36g=83<1<7>t$47e>01<@?nh7E;91:&4e?4<,?o968h4H56f?!?0208=7d=j:18'2`4=<:10e9650;&5a7<0>21d89m50;&5a7<3;o10e9>50;&5a7<3821b89l50;&5a7<31910e9;50;&5a7<3>810qo99k4:7:J5`f=O=?;0(:o52:&5a7<2n2B?8h5+968:63=n;l0;6)8j2;60?>o303:1(;k=:648?j23k3:1(;k=:51e?>o383:1(;k=:528?l23j3:1(;k=:5;3?>o3=3:1(;k=:542?>{e?:n1<7850;2x 03a2<=0D;jl;I755>"0i380(;k=:4d8L12b3-3<64<9;h1f>5<#>l818>54i5:94?"1m;0<:65`45a94?"1m;0??k54i5294?"1m;0?<65f45`94?"1m;0?5=54i5794?"1m;0?:<54}c50a?6=>3:1N1lj1C9;?4$6c96>"1m;0>j6F;4d9'=2<>:?1b?h4?:%4f6?2432c?47>5$7g1>20<3f>?o7>5$7g1>15a32c?<7>5$7g1>16<3`>?n7>5$7g1>1?732c?97>5$7g1>10632wi;>h50;494?6|,0`<@=>n7)78:805?l5b290/:h<54298m1>=83.=i?486:9l01e=83.=i?4;3g98m16=83.=i?4;0:9j01d=83.=i?4;9198m13=83.=i?4;6098yg1383:1:7>50z&61c<2?2B=hn5G5738 2g=l2.=i?4:f:J70`=#1>02>;5f3d83>!0b:3>876g;8;29 3c52><07b:;c;29 3c52=9m76g;0;29 3c52=:07d:;b;29 3c52=3;76g;5;29 3c52=<:76sm75394?0=83:p(8;i:458L3bd3A?==6*8a;08 3c52<413`9n6=4+6d0906==h<=i1<7*9e3877c==n<=h1<7*9e387=5=7>56;294~"2=o0>;6F9db9K137<,>k1h6*9e386b>N37:<;:k74;h67f?6=,?o9697?;:k71?6=,?o9698>;:a315=83<1<7>t$47e>01<@?nh7E;91:&4e?4<,?o968h4H56f?!?0208=7d=j:18'2`4=<:10e9650;&5a7<0>21d89m50;&5a7<3;o10e9>50;&5a7<3821b89l50;&5a7<31910e9;50;&5a7<3>810qo99k4:7:J5`f=O=?;0(:o52:&5a7<2n2B?8h5+968:63=n;l0;6)8j2;60?>o303:1(;k=:648?j23k3:1(;k=:51e?>o383:1(;k=:528?l23j3:1(;k=:5;3?>o3=3:1(;k=:542?>{e?=l1<7850;2x 03a2<=0D;jl;I755>"0i380(;k=:4d8L12b3-3<64<9;h1f>5<#>l818>54i5:94?"1m;0<:65`45a94?"1m;0??k54i5294?"1m;0?<65f45`94?"1m;0?5=54i5794?"1m;0?:<54}c564?6=>3:1N1lj1C9;?4$6c96>"1m;0>j6F;4d9'=2<>:?1b?h4?:%4f6?2432c?47>5$7g1>20<3f>?o7>5$7g1>15a32c?<7>5$7g1>16<3`>?n7>5$7g1>1?732c?97>5$7g1>10632wi;8<50;494?6|,0`<@=>n7)78:805?l5b290/:h<54298m1>=83.=i?486:9l01e=83.=i?4;3g98m16=83.=i?4;0:9j01d=83.=i?4;9198m13=83.=i?4;6098yg12;3:1:7>50z&61c<2?2B=hn5G5738 2g=:2.=i?4:f:J70`=#1>02>;5f3d83>!0b:3>876g;8;29 3c52><07b:;c;29 3c52=9m76g;0;29 3c52=:07d:;b;29 3c52=3;76g;5;29 3c52=<:76sm74694?0=83:p(8;i:458L3bd3A?==6*8a;f8 3c52<413`9n6=4+6d0906==h<=i1<7*9e3877c==n<=h1<7*9e387=5=97>56;294~"2=o0>;6F9db9K137<,>k1h6*9e386b>N37:<;:k74;h67f?6=,?o9697?;:k71?6=,?o9698>;:a300=83<1<7>t$47e>01<@?nh7E;91:&4e?b<,?o968h4H56f?!?0208=7d=j:18'2`4=<:10e9650;&5a7<0>21d89m50;&5a7<3;o10e9>50;&5a7<3821b89l50;&5a7<31910e9;50;&5a7<3>810qo9:7;292?6=8r.>9k4:7:J5`f=O=?;0(:o5d:&5a7<2n2B?8h5+968:63=n;l0;6)8j2;60?>o303:1(;k=:648?j23k3:1(;k=:51e?>o383:1(;k=:528?l23j3:1(;k=:5;3?>o3=3:1(;k=:542?>{e?<21<7850;2x 03a2<=0D;jl;I755>"0i380(;k=:4d8L12b3-3<64<9;h1f>5<#>l818>54i5:94?"1m;0<:65`45a94?"1m;0??k54i5294?"1m;0?<65f45`94?"1m;0?5=54i5794?"1m;0?:<54}c56=?6=>3:1N1lj1C9;?4$6c96>"1m;0>j6F;4d9'=2<>:?1b?h4?:%4f6?2432c?47>5$7g1>20<3f>?o7>5$7g1>15a32c?<7>5$7g1>16<3`>?n7>5$7g1>1?732c?97>5$7g1>10632wi;8?50;494?6|,0`<@=>n7)78:805?l5b290/:h<54298m1>=83.=i?486:9l01e=83.=i?4;3g98m16=83.=i?4;0:9j01d=83.=i?4;9198m13=83.=i?4;6098yg1em3:1:7>50z&61c<202B=hn5G5738 2g=:2.=i?4:f:J70`=#1>02>;5f3d83>!0b:3>876g;0;29 3c52=:07d:7:18'2`4=??10c9:l:18'2`4=<:l07d:;b;29 3c52=3;76g;5;29 3c52=<:76sm7cd94?0=83:p(8;i:4:8L3bd3A?==6*8a;08 3c52<413`9n6=4+6d0906==n<10;6)8j2;55?>i3=n<=h1<7*9e387=5=56;294~"2=o0>46F9db9K137<,>k1>6*9e386b>N37:<;:k74?6=,?o969>4;h6;>5<#>l81;;54o56`>5<#>l818>h4;h67f?6=,?o9697?;:k71?6=,?o9698>;:a3f4=83<1<7>t$47e>0><@?nh7E;91:&4e?4<,?o968h4H56f?!?0208=7d=j:18'2`4=<:10e9>50;&5a7<3821b854?:%4f6?1132e?8n4?:%4f6?24n21b89l50;&5a7<31910e9;50;&5a7<3>810qo9l3;292?6=8r.>9k4:8:J5`f=O=?;0(:o5d:&5a7<2n2B?8h5+968:63=n;l0;6)8j2;60?>o383:1(;k=:528?l2?290/:h<57798k12d290/:h<542d8?l23j3:1(;k=:5;3?>o3=3:1(;k=:542?>{e?j>1<7850;2x 03a2<20D;jl;I755>"0i3n0(;k=:4d8L12b3-3<64<9;h1f>5<#>l818>54i5294?"1m;0?<65f4983>!0b:3==76a;4b83>!0b:3>8j65f45`94?"1m;0?5=54i5794?"1m;0?:<54}c5`2?6=>3:1N1lj1C9;?4$6c9`>"1m;0>j6F;4d9'=2<>:?1b?h4?:%4f6?2432c?<7>5$7g1>16<3`>36=4+6d0933=h6=4+6d0906`<3`>?n7>5$7g1>1?732c?97>5$7g1>10632wi;n950;494?6|,0`<@=>n7)78:805?l5b290/:h<54298m16=83.=i?4;0:9j0=<72-799;:m70f<72-7:50z&61c<202B=hn5G5738 2g=:2.=i?4:f:J70`=#1>02>;5f3d83>!0b:3>876g;0;29 3c52=:07d:7:18'2`4=??10c9:l:18'2`4=<:l07d:;b;29 3c52=3;76g;5;29 3c52=<:76sm7b;94?0=83:p(8;i:4:8L3bd3A?==6*8a;08 3c52<413`9n6=4+6d0906==n<10;6)8j2;55?>i3=n<=h1<7*9e387=5=56;294~"2=o0>46F9db9K137<,>k1>6*9e386b>N37:<;:k74?6=,?o969>4;h6;>5<#>l81;;54o56`>5<#>l818>h4;h67f?6=,?o9697?;:k71?6=,?o9698>;:a<`c=83<1<7>t$47e>0><@?nh7E;91:&4e?4<,?o968h4H56f?!?0208=7d=j:18'2`4=<:10e9>50;&5a7<3821b854?:%4f6?1132e?8n4?:%4f6?24n21b89l50;&5a7<31910e9;50;&5a7<3>810qo6jf;292?6=8r.>9k4:8:J5`f=O=?;0(:o52:&5a7<2n2B?8h5+968:63=n;l0;6)8j2;60?>o383:1(;k=:528?l2?290/:h<57798k12d290/:h<542d8?l23j3:1(;k=:5;3?>o3=3:1(;k=:542?>{e0o;1<7850;2x 03a2<20D;jl;I755>"0i380(;k=:4d8L12b3-3<64<9;h1f>5<#>l818>54i5294?"1m;0?<65f4983>!0b:3==76a;4b83>!0b:3>8j65f45`94?"1m;0?5=54i5794?"1m;0?:<54}c:e6?6=>3:1N1lj1C9;?4$6c96>"1m;0>j6F;4d9'=2<>:?1b?h4?:%4f6?2432c?<7>5$7g1>16<3`>36=4+6d0933=h6=4+6d0906`<3`>?n7>5$7g1>1?732c?97>5$7g1>10632wi4k=50;494?6|,0`<@=>n7)78:805?l5b290/:h<54298m16=83.=i?4;0:9j0=<72-799;:m70f<72-7:a<3:1:7>50z&61c<202B=hn5G5738 2g=l2.=i?4:f:J70`=#1>02>;5f3d83>!0b:3>876g;0;29 3c52=:07d:7:18'2`4=??10c9:l:18'2`4=<:l07d:;b;29 3c52=3;76g;5;29 3c52=<:76sm8g494?0=83:p(8;i:4:8L3bd3A?==6*8a;f8 3c52<413`9n6=4+6d0906==n<10;6)8j2;55?>i3=n<=h1<7*9e387=5=56;294~"2=o0>46F9db9K137<,>k1h6*9e386b>N37:<;:k74?6=,?o969>4;h6;>5<#>l81;;54o56`>5<#>l818>h4;h67f?6=,?o9697?;:k71?6=,?o9698>;:a=83<1<7>t$47e>0><@?nh7E;91:&4e?4<,?o968h4H56f?!?0208=7d=j:18'2`4=<:10e9>50;&5a7<3821b854?:%4f6?1132e?8n4?:%4f6?24n21b89l50;&5a7<31910e9;50;&5a7<3>810qo6i9;292?6=8r.>9k4:8:J5`f=O=?;0(:o52:&5a7<2n2B?8h5+968:63=n;l0;6)8j2;60?>o383:1(;k=:528?l2?290/:h<57798k12d290/:h<542d8?l23j3:1(;k=:5;3?>o3=3:1(;k=:542?>{e0o:1<7850;2x 03a2<20D;jl;I755>"0i380(;k=:4d8L12b3-3<64<9;h1f>5<#>l818>54i5294?"1m;0?<65f4983>!0b:3==76a;4b83>!0b:3>8j65f45`94?"1m;0?5=54i5794?"1m;0?:<54}c17`?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c15=?6=;3:1mi0D88>;%4f6?0ci2.2;77=6:k75?6=,?o96:84;h;5>5<#>l81;;54o51`>5<#>l818>h4;|`00c<72=0;6=u+54d9ed=O>mi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|`02d<72:0;6=u+54d92ad<@?nh7E;91:&5a7<1lh1/5:46279j04<72-799;:k:2?6=,?o96:84;n60g?6=,?o969=i;:a706=83>1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:a73d=8391<7>t$47e>3be3Amk0(4959348m17=83.=i?486:9j=3<72-799;:m77f<72-7:797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:50z&61c798;:k7<`<72-7:7f:9l06e=83.=i?4;3g98yg51l3:1?7>50z&61c<1lk1C:im4H442?!0b:3o393:1(;k=:648?l?1290/:h<57798k15d290/:h<542d8?xd4==0;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd4>l0;6>4?:1y'10`=>mh0D;jl;I755>"1m;0=hl5+968:63=n<80;6)8j2;55?>o>>3:1(;k=:648?j24k3:1(;k=:51e?>{e;"1m;0j56*67;;12>o393:1(;k=:6:8?l2f290/:h<57698m1>b290/:h<549d8?j24k3:1(;k=:51e?>{e;?l1<7=50;2x 03a2?ni7E8kc:J624=#>l81:io4$859=70=n1?0;6)8j2;55?>i3;j0;6)8j2;60b>=zj:?=6=4;:183!32n3kj7E8kc:J624=#>l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj:=;6=4<:183!32n3<413`>:6=4+6d0933==h<:i1<7*9e3877c=;7>54;294~"2=o0jm6F9db9K137<,?o96l74$859=70=no30l0;6)8j2;6;b>=h<:i1<7*9e3877c=53;294~"2=o0=ho5G6ea8L0063-78ka:&:3??5>2c?=7>5$7g1>20<3`3=6=4+6d0933=5}#=:?1b8<4?:%4f6?1132c2:7>5$7g1>20<3f>8o7>5$7g1>15a32wi=>o50;694?6|,2c?=7>5$7g1>2><3`>j6=4+6d0932=8o7>5$7g1>15a32wi=8>50;194?6|,N2>81/:h<56ec8 <1=1;<0e9?50;&5a7<0>21b5;4?:%4f6?1132e??n4?:%4f6?24n21vn<=m:187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn<;>:180>5<7s-?>j78kb:J5`f=O=?;0(;k=:7fb?!?0208=7d:>:18'2`4=??10e4850;&5a7<0>21d8>m50;&5a7<3;o10qo?9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo?:2;297?6=8r.>9k49dc9K2ae<@<<:7)8j2;4ge>">?339:6g;1;29 3c52><07d79:18'2`4=??10c9=l:18'2`4=<:l07pl>3d83>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl>5283>6<729q/98h56e`8L3bd3A?==6*9e385`d=#1>02>;5f4083>!0b:3==76g66;29 3c52><07b:">?339:6g;1;29 3c52>207d:n:18'2`4=?>10e96j:18'2`4=<1l07b::<5+6d092ag<,0=15?84i5394?"1m;0<:65f9783>!0b:3==76a;3b83>!0b:3>8j65rb061>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb076>5<4290;w);:f;4gf>N1lj1C9;?4$7g1>3bf3-3<64<9;h62>5<#>l81;;54i8494?"1m;0<:65`42a94?"1m;0??k54}c370?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c362?6=;3:1mi0D88>;%4f6?0ci2.2;77=6:k75?6=,?o96:84;h;5>5<#>l81;;54o51`>5<#>l818>h4;|`203<72=0;6=u+54d9ed=O>mi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|`212<72:0;6=u+54d92ad<@?nh7E;91:&5a7<1lh1/5:46279j04<72-799;:k:2?6=,?o96:84;n60g?6=,?o969=i;:a51>=83>1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:a50>=8391<7>t$47e>3be3Amk0(4959348m17=83.=i?486:9j=3<72-799;:m77f<72-7:50z&61c798;:k7<`<72-7:7f:9l06e=83.=i?4;3g98yg71n3:1?7>50z&61c<1lk1C:im4H442?!0b:3o393:1(;k=:648?l?1290/:h<57798k15d290/:h<542d8?xd6=h0;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd6?90;6>4?:1y'10`=>mh0D;jl;I755>"1m;0=hl5+968:63=n<80;6)8j2;55?>o>>3:1(;k=:648?j24k3:1(;k=:51e?>{e9"1m;0j56*67;;12>o393:1(;k=:6:8?l2f290/:h<57698m1>b290/:h<549d8?j24k3:1(;k=:51e?>{e9>;1<7=50;2x 03a2?ni7E8kc:J624=#>l81:io4$859=70=n1?0;6)8j2;55?>i3;j0;6)8j2;60b>=zj8?o6=4;:183!32n3kj7E8kc:J624=#>l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj8=96=4<:183!32n3<413`>:6=4+6d0933==h<:i1<7*9e3877c=j7>54;294~"2=o0jm6F9db9K137<,?o96l74$859=70=no30l0;6)8j2;6;b>=h<:i1<7*9e3877c=53;294~"2=o0=ho5G6ea8L0063-78ka:&:3??5>2c?=7>5$7g1>20<3`3=6=4+6d0933=5}#=7o6;%;4><413`>:6=4+6d093===n<1o1<7*9e3875}#=:?1b8<4?:%4f6?1132c2:7>5$7g1>20<3f>8o7>5$7g1>15a32wi=;=50;694?6|,2c?=7>5$7g1>2><3`>j6=4+6d0932=8o7>5$7g1>15a32wi=:;50;194?6|,N2>81/:h<56ec8 <1=1;<0e9?50;&5a7<0>21b5;4?:%4f6?1132e??n4?:%4f6?24n21vn<8::187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn<99:180>5<7s-?>j78kb:J5`f=O=?;0(;k=:7fb?!?0208=7d:>:18'2`4=??10e4850;&5a7<0>21d8>m50;&5a7<3;o10qo?97;290?6=8r.>9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo?87;297?6=8r.>9k49dc9K2ae<@<<:7)8j2;4ge>">?339:6g;1;29 3c52><07d79:18'2`4=??10c9=l:18'2`4=<:l07pl>6e83>6<729q/98h56e`8L3bd3A?==6*9e385`d=#1>02>;5f4083>!0b:3==76g66;29 3c52><07b:">?339:6g;1;29 3c52>207d:n:18'2`4=?>10e96j:18'2`4=<1l07b::<5+6d092ag<,0=15?84i5394?"1m;0<:65f9783>!0b:3==76a;3b83>!0b:3>8j65rb257>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb2:e>5<4290;w);:f;4gf>N1lj1C9;?4$7g1>3bf3-3<64<9;h62>5<#>l81;;54i8494?"1m;0<:65`42a94?"1m;0??k54}c141?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c1:4?6=;3:1mi0D88>;%4f6?0ci2.2;77=6:k75?6=,?o96:84;h;5>5<#>l81;;54o51`>5<#>l818>h4;|`032<72=0;6=u+54d9ed=O>mi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|`0=4<72:0;6=u+54d92ad<@?nh7E;91:&5a7<1lh1/5:46279j04<72-799;:k:2?6=,?o96:84;n60g?6=,?o969=i;:a72>=83>1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:a7<4=8391<7>t$47e>3be3Amk0(4959348m17=83.=i?486:9j=3<72-799;:m77f<72-7:290?6=4?{%76b?gf3A797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:50z&61c798;:k7<`<72-7:7f:9l06e=83.=i?4;3g98yg5><3:1?7>50z&61c<1lk1C:im4H442?!0b:3o393:1(;k=:648?l?1290/:h<57798k15d290/:h<542d8?xd4?k0;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd41<0;6>4?:1y'10`=>mh0D;jl;I755>"1m;0=hl5+968:63=n<80;6)8j2;55?>o>>3:1(;k=:648?j24k3:1(;k=:51e?>{e;>i1<7:50;2x 03a2hk0D;jl;I755>"1m;0j56*67;;12>o393:1(;k=:6:8?l2f290/:h<57698m1>b290/:h<549d8?j24k3:1(;k=:51e?>{e;0<1<7=50;2x 03a2?ni7E8kc:J624=#>l81:io4$859=70=n1?0;6)8j2;55?>i3;j0;6)8j2;60b>=zj:2o6=4<:183!32n3<413`>:6=4+6d0933==h<:i1<7*9e3877c=53;294~"2=o02h6F9db9K137<,?o9698?;%;4><413-=j6?j4i6394?"1m;08:6`9e183?>o0:3:1(;k=:248j3c72810c9=l:18'2`4=<:l07pl<2e83>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl<4383>6<729q/98h56e`8L3bd3A?==6*9e385`d=#1>02>;5f4083>!0b:3==76g66;29 3c52><07b:02>;5+7`81`>o093:1(;k=:248j3c72910e:<50;&5a7<4>2d=i=4>;:m77f<72-7:797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:50z&61c<>l2B=hn5G5738 3c52=<;7)78:805?!1f2;n0e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=k4?:583>5}#=7o6;%;4><413`>:6=4+6d093===n<1o1<7*9e3875}#=:?1b8<4?:%4f6?1132c2:7>5$7g1>20<3f>8o7>5$7g1>15a32wi?>l50;194?6|,:?1/;l4=d:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76a;3b83>!0b:3>8j65rb213>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb265>5<4290;w);:f;4gf>N1lj1C9;?4$7g1>3bf3-3<64<9;h62>5<#>l81;;54i8494?"1m;0<:65`42a94?"1m;0??k54}c10g?6=;3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>7b;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098k15d290/:h<542d8?xd4;80;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd4<>0;6>4?:1y'10`=>mh0D;jl;I755>"1m;0=hl5+968:63=n<80;6)8j2;55?>o>>3:1(;k=:648?j24k3:1(;k=:51e?>{e;:n1<7=50;2x 03a20n0D;jl;I755>"1m;0?:=5+968:63=#?h09h6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632e??n4?:%4f6?24n21vn>==:187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn>:7:180>5<7s-?>j78kb:J5`f=O=?;0(;k=:7fb?!?0208=7d:>:18'2`4=??10e4850;&5a7<0>21d8>m50;&5a7<3;o10qo=9k46d:J5`f=O=?;0(;k=:543?!?0208=7)9n:3f8m27=83.=i?4<6:l5a5<732c<>7>5$7g1>605<#>l818>h4;|`076<72=0;6=u+54d9ed=O>mi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|`00<<72:0;6=u+54d92ad<@?nh7E;91:&5a7<1lh1/5:46279j04<72-799;:k:2?6=,?o96:84;n60g?6=,?o969=i;:a76`=8391<7>t$47e>91/5:46279'3d<5l2c<=7>5$7g1>60i3;j0;6)8j2;60b>=zj:9?6=4;:183!32n3kj7E8kc:J624=#>l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj:>j6=4<:183!32n3<413`>:6=4+6d0933==h<:i1<7*9e3877c=53;294~"2=o02h6F9db9K137<,?o9698?;%;4><413-=j6?j4i6394?"1m;08:6`9e183?>o0:3:1(;k=:248j3c72810c9=l:18'2`4=<:l07pl<3483>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl<4c83>6<729q/98h56e`8L3bd3A?==6*9e385`d=#1>02>;5f4083>!0b:3==76g66;29 3c52><07b:02>;5+7`81`>o093:1(;k=:248j3c72910e:<50;&5a7<4>2d=i=4>;:m77f<72-7:797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:50z&61c<>l2B=hn5G5738 3c52=<;7)78:805?!1f2;n0e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=4?:283>5}#=:?1b8<4?:%4f6?1132c2:7>5$7g1>20<3f>8o7>5$7g1>15a32wi?ih50;194?6|,:?1/;l4=d:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76a;3b83>!0b:3>8j65rb2f6>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb2gb>5<4290;w);:f;4gf>N1lj1C9;?4$7g1>3bf3-3<64<9;h62>5<#>l81;;54i8494?"1m;0<:65`42a94?"1m;0??k54}c1f5?6=;3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>7b;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098k15d290/:h<542d8?xd4l?0;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd4mj0;6>4?:1y'10`=>mh0D;jl;I755>"1m;0=hl5+968:63=n<80;6)8j2;55?>o>>3:1(;k=:648?j24k3:1(;k=:51e?>{e;l81<7=50;2x 03a20n0D;jl;I755>"1m;0?:=5+968:63=#?h09h6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632e??n4?:%4f6?24n21vn>j8:187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn>kk:180>5<7s-?>j78kb:J5`f=O=?;0(;k=:7fb?!?0208=7d:>:18'2`4=??10e4850;&5a7<0>21d8>m50;&5a7<3;o10qo=j3;297?6=8r.>9k46d:J5`f=O=?;0(;k=:543?!?0208=7)9n:3f8m27=83.=i?4<6:l5a5<732c<>7>5$7g1>605<#>l818>h4;|`0`=<72=0;6=u+54d9ed=O>mi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|`0a`<72:0;6=u+54d92ad<@?nh7E;91:&5a7<1lh1/5:46279j04<72-799;:k:2?6=,?o96:84;n60g?6=,?o969=i;:a7`2=8391<7>t$47e>91/5:46279'3d<5l2c<=7>5$7g1>60i3;j0;6)8j2;60b>=zj:n26=4;:183!32n3kj7E8kc:J624=#>l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj:om6=4<:183!32n3<413`>:6=4+6d0933==h<:i1<7*9e3877c=53;294~"2=o02h6F9db9K137<,?o9698?;%;4><413-=j6?j4i6394?"1m;08:6`9e183?>o0:3:1(;k=:248j3c72810c9=l:18'2`4=<:l07pl1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl6<729q/98h56e`8L3bd3A?==6*9e385`d=#1>02>;5f4083>!0b:3==76g66;29 3c52><07b:02>;5+7`81`>o093:1(;k=:248j3c72910e:<50;&5a7<4>2d=i=4>;:m77f<72-7:797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:50z&61c<>l2B=hn5G5738 3c52=<;7)78:805?!1f2;n0e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=5}#=7o6;%;4><413`>:6=4+6d093===n<1o1<7*9e3875}#=:?1b8<4?:%4f6?1132c2:7>5$7g1>20<3f>8o7>5$7g1>15a32wi?h650;194?6|,:?1/;l4=d:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76a;3b83>!0b:3>8j65rb2fg>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb2d0>5<4290;w);:f;4gf>N1lj1C9;?4$7g1>3bf3-3<64<9;h62>5<#>l81;;54i8494?"1m;0<:65`42a94?"1m;0??k54}c1f=?6=;3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>7b;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098k15d290/:h<542d8?xd4ll0;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd4n=0;6>4?:1y'10`=>mh0D;jl;I755>"1m;0=hl5+968:63=n<80;6)8j2;55?>o>>3:1(;k=:648?j24k3:1(;k=:51e?>{e;l:1<7=50;2x 03a20n0D;jl;I755>"1m;0?:=5+968:63=#?h09h6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632e??n4?:%4f6?24n21vn>km:180>5<7s-?>j78kb:J5`f=O=?;0(;k=:7fb?!?0208=7d:>:18'2`4=??10e4850;&5a7<0>21d8>m50;&5a7<3;o10qo?na;290?6=8r.>9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo?md;297?6=8r.>9k49dc9K2ae<@<<:7)8j2;4ge>">?339:6g;1;29 3c52><07d79:18'2`4=??10c9=l:18'2`4=<:l07pl>b283>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl>c683>6<729q/98h56e`8L3bd3A?==6*9e385`d=#1>02>;5f4083>!0b:3==76g66;29 3c52><07b:">?339:6g;1;29 3c52>207d:n:18'2`4=?>10e96j:18'2`4=<1l07b::<5+6d092ag<,0=15?84i5394?"1m;0<:65f9783>!0b:3==76a;3b83>!0b:3>8j65rb0`6>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb0a:>5<4290;w);:f;4gf>N1lj1C9;?4$7g1>3bf3-3<64<9;h62>5<#>l81;;54i8494?"1m;0<:65`42a94?"1m;0??k54}c3a2?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c3`e?6=;3:1mi0D88>;%4f6?0ci2.2;77=6:k75?6=,?o96:84;h;5>5<#>l81;;54o51`>5<#>l818>h4;|`2f2<72=0;6=u+54d9ed=O>mi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|`2gg<72:0;6=u+54d92ad<@?nh7E;91:&5a7<1lh1/5:46279j04<72-799;:k:2?6=,?o96:84;n60g?6=,?o969=i;:a5g>=83>1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:a5fe=8391<7>t$47e>3be3Amk0(4959348m17=83.=i?486:9j=3<72-799;:m77f<72-7:290?6=4?{%76b?gf3A797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:50z&61c798;:k7<`<72-7:7f:9l06e=83.=i?4;3g98yg7dm3:1?7>50z&61c<1lk1C:im4H442?!0b:3o393:1(;k=:648?l?1290/:h<57798k15d290/:h<542d8?xd6jk0;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd6ko0;6>4?:1y'10`=>mh0D;jl;I755>"1m;0=hl5+968:63=n<80;6)8j2;55?>o>>3:1(;k=:648?j24k3:1(;k=:51e?>{e9hh1<7:50;2x 03a2hk0D;jl;I755>"1m;0j56*67;;12>o393:1(;k=:6:8?l2f290/:h<57698m1>b290/:h<549d8?j24k3:1(;k=:51e?>{e9ko1<7=50;2x 03a2?ni7E8kc:J624=#>l81:io4$859=70=n1?0;6)8j2;55?>i3;j0;6)8j2;60b>=zj8kh6=4;:183!32n3kj7E8kc:J624=#>l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj8hm6=4<:183!32n3<413`>:6=4+6d0933==h<:i1<7*9e3877c=54;294~"2=o0jm6F9db9K137<,?o96l74$859=70=no30l0;6)8j2;6;b>=h<:i1<7*9e3877c=53;294~"2=o0=ho5G6ea8L0063-78ka:&:3??5>2c?=7>5$7g1>20<3`3=6=4+6d0933=5}#=7o6;%;4><413`>:6=4+6d093===n<1o1<7*9e3875}#=:?1b8<4?:%4f6?1132c2:7>5$7g1>20<3f>8o7>5$7g1>15a32wi=lh50;694?6|,2c?=7>5$7g1>2><3`>j6=4+6d0932=8o7>5$7g1>15a32wi=n<50;194?6|,N2>81/:h<56ec8 <1=1;<0e9?50;&5a7<0>21b5;4?:%4f6?1132e??n4?:%4f6?24n21vn5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn5<7s-?>j78kb:J5`f=O=?;0(;k=:7fb?!?0208=7d:>:18'2`4=??10e4850;&5a7<0>21d8>m50;&5a7<3;o10qo?m1;290?6=8r.>9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo?l4;297?6=8r.>9k49dc9K2ae<@<<:7)8j2;4ge>">?339:6g;1;29 3c52><07d79:18'2`4=??10c9=l:18'2`4=<:l07pl>b383>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl>c483>6<729q/98h56e`8L3bd3A?==6*9e385`d=#1>02>;5f4083>!0b:3==76g66;29 3c52><07b::<5+6d092ag<,0=15?84i5394?"1m;0<:65f9783>!0b:3==76a;3b83>!0b:3>8j65rb237>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb236>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb235>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb234>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb23;>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb23:>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb23b>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb`a94?2=83:p(8;i:`c8L3bd3A?==6*9e38b=>">?339:6g;1;29 3c52>207d:n:18'2`4=?>10e96j:18'2`4=<1l07b:6<729q/98h56e`8L3bd3A?==6*9e385`d=#1>02>;5f4083>!0b:3==76g66;29 3c52><07b:1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pll4;297?6=8r.>9k49dc9K2ae<@<<:7)8j2;4ge>">?339:6g;1;29 3c52><07d79:18'2`4=??10c9=l:18'2`4=<:l07plm0;290?6=8r.>9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qom::180>5<7s-?>j78kb:J5`f=O=?;0(;k=:7fb?!?0208=7d:>:18'2`4=??10e4850;&5a7<0>21d8>m50;&5a7<3;o10qolm:180>5<7s-?>j77k;I4gg>N2>81/:h<54728 <1=1;<0(:o51d9j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65`42a94?"1m;0??k54}c`2>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rbb494?5=83:p(8;i:7fa?M0ck2B>:<5+6d092ag<,0=15?84i5394?"1m;0<:65f9783>!0b:3==76a;3b83>!0b:3>8j65rbca94?5=83:p(8;i:8f8L3bd3A?==6*9e38725=#1>02>;5+7`82a>o093:1(;k=:248j3c72910e:<50;&5a7<4>2d=i=4>;:m77f<72-7:1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:ag2<72:0;6=u+54d92ad<@?nh7E;91:&5a7<1lh1/5:46279j04<72-799;:k:2?6=,?o96:84;n60g?6=,?o969=i;:afa<72:0;6=u+54d9=a=O>mi0D88>;%4f6?2182.2;77=6:&4e?7b3`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?j24k3:1(;k=:51e?>{ej:0;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xdd03:1?7>50z&61c<1lk1C:im4H442?!0b:3o393:1(;k=:648?l?1290/:h<57798k15d290/:h<542d8?xdem3:1?7>50z&61c<>l2B=hn5G5738 3c52=<;7)78:805?!1f28o0e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=54;294~"2=o0jm6F9db9K137<,?o96l74$859=70=no30l0;6)8j2;6;b>=h<:i1<7*9e3877c=<413`>:6=4+6d0933==h<:i1<7*9e3877c=l818;>4$859=70<,>k1=h5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921d8>m50;&5a7<3;o10qol::187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vnno50;194?6|,N2>81/:h<56ec8 <1=1;<0e9?50;&5a7<0>21b5;4?:%4f6?1132e??n4?:%4f6?24n21vnn>50;194?6|,:?1/;l4>e:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76a;3b83>!0b:3>8j65rbc494?2=83:p(8;i:`c8L3bd3A?==6*9e38b=>">?339:6g;1;29 3c52>207d:n:18'2`4=?>10e96j:18'2`4=<1l07b:6<729q/98h56e`8L3bd3A?==6*9e385`d=#1>02>;5f4083>!0b:3==76g66;29 3c52><07b:6<729q/98h59e9K2ae<@<<:7)8j2;654>">?339:6*8a;3f?l16290/:h<5379m2`6=821b;?4?:%4f6?513gmi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|``g?6=;3:1mi0D88>;%4f6?0ci2.2;77=6:k75?6=,?o96:84;h;5>5<#>l81;;54o51`>5<#>l818>h4;|`aN1lj1C9;?4$7g1>1073-3<64<9;%5b>4c;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098k15d290/:h<542d8?xdd;3:1?7>50z&61c<1lk1C:im4H442?!0b:3o393:1(;k=:648?l?1290/:h<57798k15d290/:h<542d8?xddl3:187>50z&61c798;:k7<`<72-7:7f:9l06e=83.=i?4;3g98ygc429086=4?{%76b?0cj2B=hn5G5738 3c52?nj7)78:805?l26290/:h<57798m<0=83.=i?486:9l06e=83.=i?4;3g98ygea290?6=4?{%76b?gf3A797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:t$47e>3be3Amk0(4959348m17=83.=i?486:9j=3<72-799;:m77f<72-7:1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:aa3<72:0;6=u+54d92ad<@?nh7E;91:&5a7<1lh1/5:46279j04<72-799;:k:2?6=,?o96:84;n60g?6=,?o969=i;:a`4<72=0;6=u+54d9ed=O>mi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|`f3?6=;3:1mi0D88>;%4f6?0ci2.2;77=6:k75?6=,?o96:84;h;5>5<#>l81;;54o51`>5<#>l818>h4;|`g6?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}cg;>5<4290;w);:f;4gf>N1lj1C9;?4$7g1>3bf3-3<64<9;h62>5<#>l81;;54i8494?"1m;0<:65`42a94?"1m;0??k54}cf0>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rbd;94?5=83:p(8;i:7fa?M0ck2B>:<5+6d092ag<,0=15?84i5394?"1m;0<:65f9783>!0b:3==76a;3b83>!0b:3>8j65rbe694?2=83:p(8;i:`c8L3bd3A?==6*9e38b=>">?339:6g;1;29 3c52>207d:n:18'2`4=?>10e96j:18'2`4=<1l07b:6<729q/98h56e`8L3bd3A?==6*9e385`d=#1>02>;5f4083>!0b:3==76g66;29 3c52><07b:1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pljb;297?6=8r.>9k49dc9K2ae<@<<:7)8j2;4ge>">?339:6g;1;29 3c52><07d79:18'2`4=??10c9=l:18'2`4=<:l07plk6;290?6=8r.>9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qokl:180>5<7s-?>j78kb:J5`f=O=?;0(;k=:7fb?!?0208=7d:>:18'2`4=??10e4850;&5a7<0>21d8>m50;&5a7<3;o10qoj8:187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vnhj50;194?6|,N2>81/:h<56ec8 <1=1;<0e9?50;&5a7<0>21b5;4?:%4f6?1132e??n4?:%4f6?24n21vnh:50;194?6|,N2>81/:h<56ec8 <1=1;<0e9?50;&5a7<0>21b5;4?:%4f6?1132e??n4?:%4f6?24n21vn>78:187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn>ok:180>5<7s-?>j78kb:J5`f=O=?;0(;k=:7fb?!?0208=7d:>:18'2`4=??10e4850;&5a7<0>21d8>m50;&5a7<3;o10qo=69;290?6=8r.>9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo=ne;297?6=8r.>9k49dc9K2ae<@<<:7)8j2;4ge>">?339:6g;1;29 3c52><07d79:18'2`4=??10c9=l:18'2`4=<:l07pl<9`83>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl6<729q/98h56e`8L3bd3A?==6*9e385`d=#1>02>;5f4083>!0b:3==76g66;29 3c52><07b:">?339:6g;1;29 3c52>207d:n:18'2`4=?>10e96j:18'2`4=<1l07b::<5+6d092ag<,0=15?84i5394?"1m;0<:65f9783>!0b:3==76a;3b83>!0b:3>8j65rb2;g>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb2`2>5<4290;w);:f;4gf>N1lj1C9;?4$7g1>3bf3-3<64<9;h62>5<#>l81;;54i8494?"1m;0<:65`42a94?"1m;0??k54}c1:b?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c1a6?6=;3:1mi0D88>;%4f6?0ci2.2;77=6:k75?6=,?o96:84;h;5>5<#>l81;;54o51`>5<#>l818>h4;|`0e5<72=0;6=u+54d9ed=O>mi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|`0f6<72:0;6=u+54d92ad<@?nh7E;91:&5a7<1lh1/5:46279j04<72-799;:k:2?6=,?o96:84;n60g?6=,?o969=i;:a7d7=83>1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:a7g2=8391<7>t$47e>3be3Amk0(4959348m17=83.=i?486:9j=3<72-799;:m77f<72-7:797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:50z&61c<1lk1C:im4H442?!0b:3o393:1(;k=:648?l?1290/:h<57798k15d290/:h<542d8?xd6?10;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd6010;6>4?:1y'10`=>mh0D;jl;I755>"1m;0=hl5+968:63=n<80;6)8j2;55?>o>>3:1(;k=:648?j24k3:1(;k=:51e?>{e9>31<7:50;2x 03a2hk0D;jl;I755>"1m;0j56*67;;12>o393:1(;k=:6:8?l2f290/:h<57698m1>b290/:h<549d8?j24k3:1(;k=:51e?>{e9131<7=50;2x 03a2?ni7E8kc:J624=#>l81:io4$859=70=n1?0;6)8j2;55?>i3;j0;6)8j2;60b>=zj8=i6=4;:183!32n3kj7E8kc:J624=#>l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj82j6=4<:183!32n3<413`>:6=4+6d0933==h<:i1<7*9e3877c=54;294~"2=o0jm6F9db9K137<,?o96l74$859=70=no30l0;6)8j2;6;b>=h<:i1<7*9e3877c=53;294~"2=o0=ho5G6ea8L0063-78ka:&:3??5>2c?=7>5$7g1>20<3`3=6=4+6d0933=5}#=7o6;%;4><413`>:6=4+6d093===n<1o1<7*9e3875}#=:?1b8<4?:%4f6?1132c2:7>5$7g1>20<3f>8o7>5$7g1>15a32wi=:h50;694?6|,2c?=7>5$7g1>2><3`>j6=4+6d0932=8o7>5$7g1>15a32wi=5j50;194?6|,N2>81/:h<56ec8 <1=1;<0e9?50;&5a7<0>21b5;4?:%4f6?1132e??n4?:%4f6?24n21vn<6?:187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn<6j:180>5<7s-?>j78kb:J5`f=O=?;0(;k=:7fb?!?0208=7d:>:18'2`4=??10e4850;&5a7<0>21d8>m50;&5a7<3;o10qo?71;290?6=8r.>9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo?7f;297?6=8r.>9k49dc9K2ae<@<<:7)8j2;4ge>">?339:6g;1;29 3c52><07d79:18'2`4=??10c9=l:18'2`4=<:l07pl>8383>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl>9183>6<729q/98h56e`8L3bd3A?==6*9e385`d=#1>02>;5f4083>!0b:3==76g66;29 3c52><07b::<5+6d092ag<,0=15?84i5394?"1m;0<:65f9783>!0b:3==76a;3b83>!0b:3>8j65rb2`5>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb2a`>5<4290;w);:f;4gf>N1lj1C9;?4$7g1>3bf3-3<64<9;h62>5<#>l81;;54i8494?"1m;0<:65`42a94?"1m;0??k54}c1aN1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c1``?6=;3:1mi0D88>;%4f6?0ci2.2;77=6:k75?6=,?o96:84;h;5>5<#>l81;;54o51`>5<#>l818>h4;|`0f<<72=0;6=u+54d9ed=O>mi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|`0g`<72:0;6=u+54d92ad<@?nh7E;91:&5a7<1lh1/5:46279j04<72-799;:k:2?6=,?o96:84;n60g?6=,?o969=i;:a7gd=83>1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:a7f`=8391<7>t$47e>3be3Amk0(4959348m17=83.=i?486:9j=3<72-799;:m77f<72-7:797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:50z&61c798;:k7<`<72-7:7f:9l06e=83.=i?4;3g98yg5c93:1?7>50z&61c<1lk1C:im4H442?!0b:3o393:1(;k=:648?l?1290/:h<57798k15d290/:h<542d8?xd4jo0;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd4l;0;6>4?:1y'10`=>mh0D;jl;I755>"1m;0=hl5+968:63=n<80;6)8j2;55?>o>>3:1(;k=:648?j24k3:1(;k=:51e?>{e;j:1<7:50;2x 03a2hk0D;jl;I755>"1m;0j56*67;;12>o393:1(;k=:6:8?l2f290/:h<57698m1>b290/:h<549d8?j24k3:1(;k=:51e?>{e;m91<7=50;2x 03a2?ni7E8kc:J624=#>l81:io4$859=70=n1?0;6)8j2;55?>i3;j0;6)8j2;60b>=zj:i:6=4;:183!32n3kj7E8kc:J624=#>l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj:n?6=4<:183!32n3<413`>:6=4+6d0933==h<:i1<7*9e3877c=53;294~"2=o0=ho5G6ea8L0063-78ka:&:3??5>2c?=7>5$7g1>20<3`3=6=4+6d0933=5}#=7o6;%;4><413`>:6=4+6d093===n<1o1<7*9e3875}#=:?1b8<4?:%4f6?1132c2:7>5$7g1>20<3f>8o7>5$7g1>15a32wi=4<50;694?6|,2c?=7>5$7g1>2><3`>j6=4+6d0932=8o7>5$7g1>15a32wi=l<50;194?6|,N2>81/:h<56ec8 <1=1;<0e9?50;&5a7<0>21b5;4?:%4f6?1132e??n4?:%4f6?24n21vn<7;:187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn5<7s-?>j78kb:J5`f=O=?;0(;k=:7fb?!?0208=7d:>:18'2`4=??10e4850;&5a7<0>21d8>m50;&5a7<3;o10qo?65;290?6=8r.>9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo?n4;297?6=8r.>9k49dc9K2ae<@<<:7)8j2;4ge>">?339:6g;1;29 3c52><07d79:18'2`4=??10c9=l:18'2`4=<:l07pl>9683>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl>a483>6<729q/98h56e`8L3bd3A?==6*9e385`d=#1>02>;5f4083>!0b:3==76g66;29 3c52><07b:">?339:6g;1;29 3c52>207d:n:18'2`4=?>10e96j:18'2`4=<1l07b::<5+6d092ag<,0=15?84i5394?"1m;0<:65f9783>!0b:3==76a;3b83>!0b:3>8j65rb0;:>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb0c4>5<4290;w);:f;4gf>N1lj1C9;?4$7g1>3bf3-3<64<9;h62>5<#>l81;;54i8494?"1m;0<:65`42a94?"1m;0??k54}c3:e?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c3bmi0D88>;%4f6?0ci2.2;77=6:k75?6=,?o96:84;h;5>5<#>l81;;54o51`>5<#>l818>h4;|`2=g<72=0;6=u+54d9ed=O>mi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|`2e<<72:0;6=u+54d92ad<@?nh7E;91:&5a7<1lh1/5:46279j04<72-799;:k:2?6=,?o96:84;n60g?6=,?o969=i;:a5d6=8391<7>t$47e>3be3Amk0(4959348m17=83.=i?486:9j=3<72-799;:m77f<72-7:797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:4;h51>5<#>l81?;5a6d295>=n?:0;6)8j2;15?k0b83807b:">?339:6g;1;29 3c52>207d:n:18'2`4=?>10e96j:18'2`4=<1l07b:02>;5+7`8g?l16290/:h<5379m2`6=821b;?4?:%4f6?513g1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:a6gb=83>1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:a6f1=8391<7>t$47e>91/5:46279'3dl:1<65f7383>!0b:39=7c8j0;38?j24k3:1(;k=:51e?>{e:kl1<7:50;2x 03a2hk0D;jl;I755>"1m;0j56*67;;12>o393:1(;k=:6:8?l2f290/:h<57698m1>b290/:h<549d8?j24k3:1(;k=:51e?>{e:j:1<7:50;2x 03a2hk0D;jl;I755>"1m;0j56*67;;12>o393:1(;k=:6:8?l2f290/:h<57698m1>b290/:h<549d8?j24k3:1(;k=:51e?>{e:j81<7:50;2x 03a2hk0D;jl;I755>"1m;0j56*67;;12>o393:1(;k=:6:8?l2f290/:h<57698m1>b290/:h<549d8?j24k3:1(;k=:51e?>{e:lh1<7=50;2x 03a20n0D;jl;I755>"1m;0?:=5+968:63=#?h0o7d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3f>8o7>5$7g1>15a32wi>h>50;694?6|,2c?=7>5$7g1>2><3`>j6=4+6d0932=8o7>5$7g1>15a32wi>hj50;794?6|,:?1/;l4=9:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76g83;29 3c52:<0b;k?:398m22=83.=i?4<6:l5a5<432e??n4?:%4f6?24n21vn?k<:187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn?ki:186>5<7s-?>j7o=;I4gg>N2>81/:h<54728 <1=1;<0(:o53:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76g83;29 3c52:<0b;k?:398m22=83.=i?4<6:l5a5<432e??n4?:%4f6?24n21vn?k9:187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn?k6:187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn?kl:186>5<7s-?>j7o=;I4gg>N2>81/:h<54728 <1=1;<0(:o53:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76g83;29 3c52:<0b;k?:398m22=83.=i?4<6:l5a5<432e??n4?:%4f6?24n21vn?k=:187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn?kj:186>5<7s-?>j7o=;I4gg>N2>81/:h<54728 <1=1;<0(:o53:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76g83;29 3c52:<0b;k?:398m22=83.=i?4<6:l5a5<432e??n4?:%4f6?24n21vn?k::187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn?h?:180>5<7s-?>j77k;I4gg>N2>81/:h<54728 <1=1;<0(:o5d:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76a;3b83>!0b:3>8j65rb3g;>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb3gb>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb3`0>5<3290;w);:f;c3?M0ck2B>:<5+6d09036<,0=15?84$6c96==n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9j36<72-7=9;o4f4?4<3f>8o7>5$7g1>15a32wi>lk50;694?6|,2c?=7>5$7g1>2><3`>j6=4+6d0932=8o7>5$7g1>15a32wi>o:50;694?6|,4H7f`?M3192.=i?4;619'=2<>:?1/;l49;h52>5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07d9<:18'2`4=;?1e:h>52:9l06e=83.=i?4;3g98yg4fn3:187>50z&61c798;:k7<`<72-7:7f:9l06e=83.=i?4;3g98yg4e=3:187>50z&61c2d=i=4?;:k46?6=,?o96>84n7g3>4=91<7*9e3802>h1m90976a;3b83>!0b:3>8j65rb3`3>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb3`2>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb3`1>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb35g>5<2290;w);:f;c1?M0ck2B>:<5+6d09036<,0=15?84$6c97>o093:1(;k=:248j3c72910e:<50;&5a7<4>2d=i=4>;:k47?6=,?o96>84n7g3>7=>1<7*9e3802>h1m90876a;3b83>!0b:3>8j65rb35:>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb35f>5<2290;w);:f;c1?M0ck2B>:<5+6d09036<,0=15?84$6c97>o093:1(;k=:248j3c72910e:<50;&5a7<4>2d=i=4>;:k47?6=,?o96>84n7g3>7=>1<7*9e3802>h1m90876a;3b83>!0b:3>8j65rb35b>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb35e>5<4290;w);:f;;g?M0ck2B>:<5+6d09036<,0=15?84$6c9`>o093:1(;k=:248j3c72910e:<50;&5a7<4>2d=i=4>;:m77f<72-7:797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:6=4?{%76b?g53A5$7g1>60o0;3:1(;k=:248j3c72;10e::50;&5a7<4>2d=i=4<;:m77f<72-7:797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:6=4?{%76b?g53A5$7g1>60o0;3:1(;k=:248j3c72;10e::50;&5a7<4>2d=i=4<;:m77f<72-7:797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:5$7g1>60i3;j0;6)8j2;60b>=zj::o6=4;:183!32n3kj7E8kc:J624=#>l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj::n6=4;:183!32n3kj7E8kc:J624=#>l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj;2o6=4<:183!32n33o7E8kc:J624=#>l818;>4$859=70<,>k1>i5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921d8>m50;&5a7<3;o10qo<72;290?6=8r.>9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo<7f;297?6=8r.>9k46d:J5`f=O=?;0(;k=:543?!?0208=7)9n:3f8m27=83.=i?4<6:l5a5<732c<>7>5$7g1>605<#>l818>h4;|`1<1<72=0;6=u+54d9ed=O>mi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|`1=5<72:0;6=u+54d9=a=O>mi0D88>;%4f6?2182.2;77=6:&4e?4c3`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?j24k3:1(;k=:51e?>{e:1?1<7:50;2x 03a2hk0D;jl;I755>"1m;0j56*67;;12>o393:1(;k=:6:8?l2f290/:h<57698m1>b290/:h<549d8?j24k3:1(;k=:51e?>{e:0;1<7=50;2x 03a20n0D;jl;I755>"1m;0?:=5+968:63=#?h09h6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632e??n4?:%4f6?24n21vn?69:187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn?7=:180>5<7s-?>j77k;I4gg>N2>81/:h<54728 <1=1;<0(:o52e9j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65`42a94?"1m;0??k54}c0;3?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c0:7?6=;3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>7b;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098k15d290/:h<542d8?xd5010;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd51=0;6>4?:1y'10`=1m1C:im4H442?!0b:3>=<6*67;;12>"0i38o7d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3f>8o7>5$7g1>15a32wi>5750;694?6|,2c?=7>5$7g1>2><3`>j6=4+6d0932=8o7>5$7g1>15a32wi>4;50;194?6|,:?1/;l4=d:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76a;3b83>!0b:3>8j65rb3:b>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb3;5>5<4290;w);:f;;g?M0ck2B>:<5+6d09036<,0=15?84$6c96a=n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9l06e=83.=i?4;3g98yg4?j3:187>50z&61c798;:k7<`<72-7:7f:9l06e=83.=i?4;3g98yg4>?3:1?7>50z&61c<>l2B=hn5G5738 3c52=<;7)78:805?!1f2;n0e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=5}#=7o6;%;4><413`>:6=4+6d093===n<1o1<7*9e3875}#=7:90:&:3??5>2.5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07b:">?339:6g;1;29 3c52>207d:n:18'2`4=?>10e96j:18'2`4=<1l07b:02>;5+7`81`>o093:1(;k=:248j3c72910e:<50;&5a7<4>2d=i=4>;:m77f<72-7:797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:4;h51>5<#>l81?;5a6d295>=h<:i1<7*9e3877c=54;294~"2=o0jm6F9db9K137<,?o96l74$859=70=no30l0;6)8j2;6;b>=h<:i1<7*9e3877c=53;294~"2=o02h6F9db9K137<,?o9698?;%;4><413-=j6?j4i6394?"1m;08:6`9e183?>o0:3:1(;k=:248j3c72810c9=l:18'2`4=<:l07pl=ce83>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl=d883>6<729q/98h59e9K2ae<@<<:7)8j2;654>">?339:6*8a;0g?l16290/:h<5379m2`6=821b;?4?:%4f6?513g1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:a6ag=8391<7>t$47e>91/5:46279'3d<5l2c<=7>5$7g1>60i3;j0;6)8j2;60b>=zj;im6=4;:183!32n3kj7E8kc:J624=#>l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj;ni6=4<:183!32n33o7E8kc:J624=#>l818;>4$859=70<,>k1>i5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921d8>m50;&5a7<3;o10qo9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo9k46d:J5`f=O=?;0(;k=:543?!?0208=7)9n:3f8m27=83.=i?4<6:l5a5<732c<>7>5$7g1>605<#>l818>h4;|`1`4<72=0;6=u+54d9ed=O>mi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|`1`a<72:0;6=u+54d9=a=O>mi0D88>;%4f6?2182.2;77=6:&4e?4c3`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?j24k3:1(;k=:51e?>{e:m81<7:50;2x 03a2hk0D;jl;I755>"1m;0j56*67;;12>o393:1(;k=:6:8?l2f290/:h<57698m1>b290/:h<549d8?j24k3:1(;k=:51e?>{e:mo1<7=50;2x 03a20n0D;jl;I755>"1m;0?:=5+968:63=#?h09h6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632e??n4?:%4f6?24n21vn?j<:187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn?ji:180>5<7s-?>j77k;I4gg>N2>81/:h<54728 <1=1;<0(:o52e9j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65`42a94?"1m;0??k54}c0g0?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c0g2?6=;3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>7b;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098k15d290/:h<542d8?xd5kk0;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd4890;6>4?:1y'10`=1m1C:im4H442?!0b:3>=<6*67;;12>"0i38o7d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3f>8o7>5$7g1>15a32wi>k;50;694?6|,2c?=7>5$7g1>2><3`>j6=4+6d0932=8o7>5$7g1>15a32wi?=<50;194?6|,:?1/;l4=d:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76a;3b83>!0b:3>8j65rb3d4>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb220>5<4290;w);:f;;g?M0ck2B>:<5+6d09036<,0=15?84$6c96a=n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9l06e=83.=i?4;3g98yg4a03:187>50z&61c798;:k7<`<72-7:7f:9l06e=83.=i?4;3g98yg57<3:1?7>50z&61c<>l2B=hn5G5738 3c52=<;7)78:805?!1f2;n0e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=5}#=7o6;%;4><413`>:6=4+6d093===n<1o1<7*9e3875}#=7:90:&:3??5>2.5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07b:">?339:6g;1;29 3c52>207d:n:18'2`4=?>10e96j:18'2`4=<1l07b:02>;5+7`81`>o093:1(;k=:248j3c72910e:<50;&5a7<4>2d=i=4>;:m77f<72-7:797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:4;h51>5<#>l81?;5a6d295>=h<:i1<7*9e3877c=54;294~"2=o0jm6F9db9K137<,?o96l74$859=70=no30l0;6)8j2;6;b>=h<:i1<7*9e3877c=53;294~"2=o02h6F9db9K137<,?o9698?;%;4><413-=j6?j4i6394?"1m;08:6`9e183?>o0:3:1(;k=:248j3c72810c9=l:18'2`4=<:l07pl=fe83>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl<0883>6<729q/98h59e9K2ae<@<<:7)8j2;654>">?339:6*8a;0g?l16290/:h<5379m2`6=821b;?4?:%4f6?513g1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:a75g=8391<7>t$47e>91/5:46279'3d<5l2c<=7>5$7g1>60i3;j0;6)8j2;60b>=zj;lm6=4;:183!32n3kj7E8kc:J624=#>l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj:::6=4<:183!32n33o7E8kc:J624=#>l818;>4$859=70<,>k1>i5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921d8>m50;&5a7<3;o10qo9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo9k46d:J5`f=O=?;0(;k=:543?!?0208=7)9n:3f8m27=83.=i?4<6:l5a5<732c<>7>5$7g1>605<#>l818>h4;|`1==<72=0;6=u+54d9ed=O>mi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|`1e0<72:0;6=u+54d9=a=O>mi0D88>;%4f6?2182.2;77=6:&4e?4c3`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?j24k3:1(;k=:51e?>{e:0k1<7:50;2x 03a2hk0D;jl;I755>"1m;0j56*67;;12>o393:1(;k=:6:8?l2f290/:h<57698m1>b290/:h<549d8?j24k3:1(;k=:51e?>{e:h<1<7=50;2x 03a20n0D;jl;I755>"1m;0?:=5+968:63=#?h09h6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632e??n4?:%4f6?24n21vn?7m:187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn?o8:180>5<7s-?>j77k;I4gg>N2>81/:h<54728 <1=1;<0(:o52e9j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65`42a94?"1m;0??k54}c0:g?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c0bN1lj1C9;?4$7g1>1073-3<64<9;%5b>7b;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098k15d290/:h<542d8?xd51m0;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd5i00;6>4?:1y'10`=1m1C:im4H442?!0b:3>=<6*67;;12>"0i38o7d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3f>8o7>5$7g1>15a32wi>4k50;694?6|,2c?=7>5$7g1>2><3`>j6=4+6d0932=8o7>5$7g1>15a32wi>lo50;194?6|,:?1/;l4=d:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76a;3b83>!0b:3>8j65rb3;e>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb3ca>5<4290;w);:f;;g?M0ck2B>:<5+6d09036<,0=15?84$6c96a=n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9l06e=83.=i?4;3g98yg4f83:187>50z&61c798;:k7<`<72-7:7f:9l06e=83.=i?4;3g98yg4fk3:1?7>50z&61c<>l2B=hn5G5738 3c52=<;7)78:805?!1f2;n0e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=5}#=7o6;%;4><413`>:6=4+6d093===n<1o1<7*9e3875}#=7:90:&:3??5>2.5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07b:">?339:6g;1;29 3c52>207d:n:18'2`4=?>10e96j:18'2`4=<1l07b:02>;5+7`81`>o093:1(;k=:248j3c72910e:<50;&5a7<4>2d=i=4>;:m77f<72-7:290?6=4?{%76b?gf3A797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:4;h51>5<#>l81?;5a6d295>=h<:i1<7*9e3877c=54;294~"2=o0jm6F9db9K137<,?o96l74$859=70=no30l0;6)8j2;6;b>=h<:i1<7*9e3877c=53;294~"2=o02h6F9db9K137<,?o9698?;%;4><413-=j6?j4i6394?"1m;08:6`9e183?>o0:3:1(;k=:248j3c72810c9=l:18'2`4=<:l07pl>d283>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl>dd83>6<729q/98h59e9K2ae<@<<:7)8j2;654>">?339:6*8a;0g?l16290/:h<5379m2`6=821b;?4?:%4f6?513g1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:a5a`=8391<7>t$47e>91/5:46279'3d<5l2c<=7>5$7g1>60i3;j0;6)8j2;60b>=zj8n>6=4;:183!32n3kj7E8kc:J624=#>l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj8o;6=4<:183!32n33o7E8kc:J624=#>l818;>4$859=70<,>k1>i5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921d8>m50;&5a7<3;o10qo?k6;290?6=8r.>9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo?k7;290?6=8r.>9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo?k8;290?6=8r.>9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo?k9;290?6=8r.>9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo?j4;297?6=8r.>9k46d:J5`f=O=?;0(;k=:543?!?0208=7)9n:3f8m27=83.=i?4<6:l5a5<732c<>7>5$7g1>605<#>l818>h4;|`2`d<72=0;6=u+54d9ed=O>mi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|`2a0<72:0;6=u+54d9=a=O>mi0D88>;%4f6?2182.2;77=6:&4e?4c3`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?j24k3:1(;k=:51e?>{e9mh1<7:50;2x 03a2hk0D;jl;I755>"1m;0j56*67;;12>o393:1(;k=:6:8?l2f290/:h<57698m1>b290/:h<549d8?j24k3:1(;k=:51e?>{e9m81<7:50;2x 03a2hk0D;jl;I755>"1m;0j56*67;;12>o393:1(;k=:6:8?l2f290/:h<57698m1>b290/:h<549d8?j24k3:1(;k=:51e?>{e:::1<7=50;2x 03a20n0D;jl;I755>"1m;0?:=5+968:63=#?h09h6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632e??n4?:%4f6?24n21vn?<::187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn?=>:180>5<7s-?>j77k;I4gg>N2>81/:h<54728 <1=1;<0(:o52e9j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65`42a94?"1m;0??k54}c013?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c006?6=;3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>7b;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098k15d290/:h<542d8?xd5:10;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd5;:0;6>4?:1y'10`=1m1C:im4H442?!0b:3>=<6*67;;12>"0i38o7d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3f>8o7>5$7g1>15a32wi>?750;694?6|,2c?=7>5$7g1>2><3`>j6=4+6d0932=8o7>5$7g1>15a32wi>>:50;194?6|,:?1/;l4=d:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76a;3b83>!0b:3>8j65rb30b>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb30a>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb30`>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb30g>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb31;>5<4290;w);:f;;g?M0ck2B>:<5+6d09036<,0=15?84$6c96a=n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9l06e=83.=i?4;3g98yg45m3:187>50z&61c798;:k7<`<72-7:7f:9l06e=83.=i?4;3g98yg45n3:187>50z&61c798;:k7<`<72-7:7f:9l06e=83.=i?4;3g98yg45>3:187>50z&61c798;:k7<`<72-7:7f:9l06e=83.=i?4;3g98yg7a93:1?7>50z&61c<>l2B=hn5G5738 3c52=<;7)78:805?!1f2;n0e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=5}#=7o6;%;4><413`>:6=4+6d093===n<1o1<7*9e3875}#=7:90:&:3??5>2.5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07b:">?339:6g;1;29 3c52>207d:n:18'2`4=?>10e96j:18'2`4=<1l07b:02>;5+7`81`>o093:1(;k=:248j3c72910e:<50;&5a7<4>2d=i=4>;:m77f<72-7:290?6=4?{%76b?gf3A797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:4;h51>5<#>l81?;5a6d295>=h<:i1<7*9e3877c=54;294~"2=o0jm6F9db9K137<,?o96l74$859=70=no30l0;6)8j2;6;b>=h<:i1<7*9e3877c=53;294~"2=o02h6F9db9K137<,?o9698?;%;4><413-=j6?j4i6394?"1m;08:6`9e183?>o0:3:1(;k=:248j3c72810c9=l:18'2`4=<:l07pl>ec83>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl>eb83>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl>ee83>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl>ed83>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl>f883>6<729q/98h59e9K2ae<@<<:7)8j2;654>">?339:6*8a;0g?l16290/:h<5379m2`6=821b;?4?:%4f6?513g1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:a5cg=8391<7>t$47e>91/5:46279'3d<5l2c<=7>5$7g1>60i3;j0;6)8j2;60b>=zj8l;6=4;:183!32n3kj7E8kc:J624=#>l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj8o<6=4;:183!32n3kj7E8kc:J624=#>l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj;>>6=4<:183!32n33o7E8kc:J624=#>l818;>4$859=70<,>k1>i5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921d8>m50;&5a7<3;o10qo<9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo<;6;297?6=8r.>9k46d:J5`f=O=?;0(;k=:543?!?0208=7)9n:3f8m27=83.=i?4<6:l5a5<732c<>7>5$7g1>605<#>l818>h4;|`17f<72=0;6=u+54d9ed=O>mi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|`102<72:0;6=u+54d9=a=O>mi0D88>;%4f6?2182.2;77=6:&4e?4c3`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?j24k3:1(;k=:51e?>{e::n1<7:50;2x 03a2hk0D;jl;I755>"1m;0j56*67;;12>o393:1(;k=:6:8?l2f290/:h<57698m1>b290/:h<549d8?j24k3:1(;k=:51e?>{e:=21<7=50;2x 03a20n0D;jl;I755>"1m;0?:=5+968:63=#?h09h6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632e??n4?:%4f6?24n21vn?=j:187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn?:6:180>5<7s-?>j77k;I4gg>N2>81/:h<54728 <1=1;<0(:o52e9j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65`42a94?"1m;0??k54}c00b?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c074?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c075?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c076?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c07`?6=;3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>7b;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098k15d290/:h<542d8?xd5<:0;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd5<=0;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd5;k0;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd58?0;6>4?:1y'10`=1m1C:im4H442?!0b:3>=<6*67;;12>"0i38o7d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3f>8o7>5$7g1>15a32wi=kl50;694?6|,2c?=7>5$7g1>2><3`>j6=4+6d0932=8o7>5$7g1>15a32wi>=950;194?6|,:?1/;l4=d:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76a;3b83>!0b:3>8j65rb0dg>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb32;>5<4290;w);:f;;g?M0ck2B>:<5+6d09036<,0=15?84$6c96a=n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9l06e=83.=i?4;3g98yg7am3:187>50z&61c798;:k7<`<72-7:7f:9l06e=83.=i?4;3g98yg4713:1?7>50z&61c<>l2B=hn5G5738 3c52=<;7)78:805?!1f2;n0e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=5}#=7o6;%;4><413`>:6=4+6d093===n<1o1<7*9e3875}#=7:90:&:3??5>2.5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07b:">?339:6g;1;29 3c52>207d:n:18'2`4=?>10e96j:18'2`4=<1l07b:02>;5+7`81`>o093:1(;k=:248j3c72910e:<50;&5a7<4>2d=i=4>;:m77f<72-7:797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:4;h51>5<#>l81?;5a6d295>=h<:i1<7*9e3877c=7>54;294~"2=o0jm6F9db9K137<,?o96l74$859=70=no30l0;6)8j2;6;b>=h<:i1<7*9e3877c=53;294~"2=o02h6F9db9K137<,?o9698?;%;4><413-=j6?j4i6394?"1m;08:6`9e183?>o0:3:1(;k=:248j3c72810c9=l:18'2`4=<:l07pl=0283>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl=0d83>6<729q/98h59e9K2ae<@<<:7)8j2;654>">?339:6*8a;0g?l16290/:h<5379m2`6=821b;?4?:%4f6?513g1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:a65`=8391<7>t$47e>91/5:46279'3d<5l2c<=7>5$7g1>60i3;j0;6)8j2;60b>=zj;:>6=4;:183!32n3kj7E8kc:J624=#>l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj8lh6=4;:183!32n3kj7E8kc:J624=#>l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj;?j6=4<:183!32n33o7E8kc:J624=#>l818;>4$859=70<,>k1>i5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921d8>m50;&5a7<3;o10qo<;f;290?6=8r.>9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo<:b;297?6=8r.>9k46d:J5`f=O=?;0(;k=:543?!?0208=7)9n:3f8m27=83.=i?4<6:l5a5<732c<>7>5$7g1>605<#>l818>h4;|`114<72=0;6=u+54d9ed=O>mi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|`11f<72:0;6=u+54d9=a=O>mi0D88>;%4f6?2182.2;77=6:&4e?4c3`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?j24k3:1(;k=:51e?>{e:<81<7:50;2x 03a2hk0D;jl;I755>"1m;0j56*67;;12>o393:1(;k=:6:8?l2f290/:h<57698m1>b290/:h<549d8?j24k3:1(;k=:51e?>{e:"1m;0?:=5+968:63=#?h09h6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632e??n4?:%4f6?24n21vn?;<:187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn?;j:180>5<7s-?>j77k;I4gg>N2>81/:h<54728 <1=1;<0(:o52e9j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65`42a94?"1m;0??k54}c060?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c06b?6=;3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>7b;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098k15d290/:h<542d8?xd5=<0;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd5>90;6>4?:1y'10`=1m1C:im4H442?!0b:3>=<6*67;;12>"0i38o7d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3f>8o7>5$7g1>15a32wi>8850;694?6|,2c?=7>5$7g1>2><3`>j6=4+6d0932=8o7>5$7g1>15a32wi>;?50;194?6|,:?1/;l4=d:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76a;3b83>!0b:3>8j65rb374>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb341>5<4290;w);:f;;g?M0ck2B>:<5+6d09036<,0=15?84$6c96a=n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9l06e=83.=i?4;3g98yg4203:187>50z&61c798;:k7<`<72-7:7f:9l06e=83.=i?4;3g98yg41;3:1?7>50z&61c<>l2B=hn5G5738 3c52=<;7)78:805?!1f2;n0e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=5}#=7o6;%;4><413`>:6=4+6d093===n<1o1<7*9e3875}#=7o6;%;4><413`>:6=4+6d093===n<1o1<7*9e3875}#=7:90:&:3??5>2.5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07b:">?339:6g;1;29 3c52>207d:n:18'2`4=?>10e96j:18'2`4=<1l07b:02>;5+7`81`>o093:1(;k=:248j3c72910e:<50;&5a7<4>2d=i=4>;:m77f<72-7:797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:4;h51>5<#>l81?;5a6d295>=h<:i1<7*9e3877c=54;294~"2=o0jm6F9db9K137<,?o96l74$859=70=no30l0;6)8j2;6;b>=h<:i1<7*9e3877c=53;294~"2=o02h6F9db9K137<,?o9698?;%;4><413-=j6?j4i6394?"1m;08:6`9e183?>o0:3:1(;k=:248j3c72810c9=l:18'2`4=<:l07pl=1583>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl=1g83>6<729q/98h59e9K2ae<@<<:7)8j2;654>">?339:6*8a;0g?l16290/:h<5379m2`6=821b;?4?:%4f6?513g1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:a676=8391<7>t$47e>91/5:46279'3d<5l2c<=7>5$7g1>60i3;j0;6)8j2;60b>=zj;;=6=4;:183!32n3kj7E8kc:J624=#>l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj;8:6=4<:183!32n33o7E8kc:J624=#>l818;>4$859=70<,>k1>i5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921d8>m50;&5a7<3;o10qo<>7;290?6=8r.>9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo<=2;297?6=8r.>9k46d:J5`f=O=?;0(;k=:543?!?0208=7)9n:3f8m27=83.=i?4<6:l5a5<732c<>7>5$7g1>605<#>l818>h4;|`15=<72=0;6=u+54d9ed=O>mi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|`166<72:0;6=u+54d9=a=O>mi0D88>;%4f6?2182.2;77=6:&4e?4c3`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?j24k3:1(;k=:51e?>{e:831<7:50;2x 03a2hk0D;jl;I755>"1m;0j56*67;;12>o393:1(;k=:6:8?l2f290/:h<57698m1>b290/:h<549d8?j24k3:1(;k=:51e?>{e:;>1<7=50;2x 03a20n0D;jl;I755>"1m;0?:=5+968:63=#?h09h6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632e??n4?:%4f6?24n21vn??n:187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn??>:187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn?8i:180>5<7s-?>j77k;I4gg>N2>81/:h<54728 <1=1;<0(:o52e9j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65`42a94?"1m;0??k54}c050?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c044?6=;3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>7b;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098k15d290/:h<542d8?xd5>?0;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd5?80;6>4?:1y'10`=1m1C:im4H442?!0b:3>=<6*67;;12>"0i38o7d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3f>8o7>5$7g1>15a32wi>;950;694?6|,2c?=7>5$7g1>2><3`>j6=4+6d0932=8o7>5$7g1>15a32wi>:<50;194?6|,:?1/;l4=d:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76a;3b83>!0b:3>8j65rb34;>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb350>5<4290;w);:f;;g?M0ck2B>:<5+6d09036<,0=15?84$6c96a=n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9l06e=83.=i?4;3g98yg4113:187>50z&61c798;:k7<`<72-7:7f:9l06e=83.=i?4;3g98yg40<3:1?7>50z&61c<>l2B=hn5G5738 3c52=<;7)78:805?!1f2;n0e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=5}#=7o6;%;4><413`>:6=4+6d093===n<1o1<7*9e3875}#=7:90:&:3??5>2.5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07b:">?339:6g;1;29 3c52>207d:n:18'2`4=?>10e96j:18'2`4=<1l07b:02>;5+7`81`>o093:1(;k=:248j3c72910e:<50;&5a7<4>2d=i=4>;:m77f<72-7:797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:4;h51>5<#>l81?;5a6d295>=h<:i1<7*9e3877c=54;294~"2=o0jm6F9db9K137<,?o96l74$859=70=no30l0;6)8j2;6;b>=h<:i1<7*9e3877c=53;294~"2=o02h6F9db9K137<,?o9698?;%;4><413-=j6?j4i6394?"1m;08:6`9e183?>o0:3:1(;k=:248j3c72810c9=l:18'2`4=<:l07pl=6d83>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl=6483>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl>0d83>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl>2283>6<729q/98h56e`8L3bd3A?==6*9e385`d=#1>02>;5f4083>!0b:3==76g66;29 3c52><07b:">?339:6g;1;29 3c52>207d:n:18'2`4=?>10e96j:18'2`4=<1l07b::<5+6d092ag<,0=15?84i5394?"1m;0<:65f9783>!0b:3==76a;3b83>!0b:3>8j65rb033>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb005>5<4290;w);:f;4gf>N1lj1C9;?4$7g1>3bf3-3<64<9;h62>5<#>l81;;54i8494?"1m;0<:65`42a94?"1m;0??k54}c325?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c313?6=;3:1mi0D88>;%4f6?0ci2.2;77=6:k75?6=,?o96:84;h;5>5<#>l81;;54o51`>5<#>l818>h4;|`257<72=0;6=u+54d9ed=O>mi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|`26=<72:0;6=u+54d92ad<@?nh7E;91:&5a7<1lh1/5:46279j04<72-799;:k:2?6=,?o96:84;n60g?6=,?o969=i;:a545=83>1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:a57?=8391<7>t$47e>3be3Amk0(4959348m17=83.=i?486:9j=3<72-799;:m77f<72-7:797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:50z&61c798;:k7<`<72-7:7f:9l06e=83.=i?4;3g98yg75j3:1?7>50z&61c<1lk1C:im4H442?!0b:3o393:1(;k=:648?l?1290/:h<57798k15d290/:h<542d8?xd69?0;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd6:j0;6>4?:1y'10`=>mh0D;jl;I755>"1m;0=hl5+968:63=n<80;6)8j2;55?>o>>3:1(;k=:648?j24k3:1(;k=:51e?>{e98=1<7:50;2x 03a2hk0D;jl;I755>"1m;0j56*67;;12>o393:1(;k=:6:8?l2f290/:h<57698m1>b290/:h<549d8?j24k3:1(;k=:51e?>{e9;n1<7=50;2x 03a2?ni7E8kc:J624=#>l81:io4$859=70=n1?0;6)8j2;55?>i3;j0;6)8j2;60b>=zj88?6=4<:183!32n3<413`>:6=4+6d0933==h<:i1<7*9e3877c=l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj8:86=4<:183!32n3<413`>:6=4+6d0933==h<:i1<7*9e3877c=l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj8:>6=4<:183!32n3<413`>:6=4+6d0933==h<:i1<7*9e3877c=l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj8:=6=4<:183!32n3<413`>:6=4+6d0933==h<:i1<7*9e3877c=l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj8:<6=4<:183!32n3<413`>:6=4+6d0933==h<:i1<7*9e3877c=l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj8:36=4<:183!32n3<413`>:6=4+6d0933==h<:i1<7*9e3877c=l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj8:26=4<:183!32n3<413`>:6=4+6d0933==h<:i1<7*9e3877c=l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj8:j6=4<:183!32n3<413`>:6=4+6d0933==h<:i1<7*9e3877c=6=4;:183!32n3kj7E8kc:J624=#>l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj8:i6=4<:183!32n3<413`>:6=4+6d0933==h<:i1<7*9e3877c=l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj8:h6=4<:183!32n3<413`>:6=4+6d0933==h<:i1<7*9e3877c=l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj8:o6=4<:183!32n3<413`>:6=4+6d0933==h<:i1<7*9e3877c=53;294~"2=o0=ho5G6ea8L0063-78ka:&:3??5>2c?=7>5$7g1>20<3`3=6=4+6d0933=5}#=02>;5f4983>!0b:3==76g97;29 3c52=907b:;c;29 3c52=9m76g9b;29 3c52=:07d:;b;29 3c52=3;76g;5;29 3c52=<:76sm4b;94?2=83:p(8;i:`c8L3bd3A?==6*9e38b=>">?339:6g;1;29 3c52>207d:n:18'2`4=?>10e96j:18'2`4=<1l07b:02>;5+7`8g?l16290/:h<5379m2`6=821b;?4?:%4f6?513g1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:a0ae=83?1<7>t$47e>d4<@?nh7E;91:&5a7<3>91/5:46279'3d<43`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?l14290/:h<5379m2`6=:21b;94?:%4f6?513g1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:a0ad=83?1<7>t$47e>d4<@?nh7E;91:&5a7<3>91/5:46279'3d<43`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?l14290/:h<5379m2`6=:21b;94?:%4f6?513g1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:a0ag=8391<7>t$47e>91/5:46279'3d<5?2c<=7>5$7g1>60i3;j0;6)8j2;60b>=zj=n;6=4;:183!32n3kj7E8kc:J624=#>l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj=n26=4<:183!32n33o7E8kc:J624=#>l818;>4$859=70<,>k1>i5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921d8>m50;&5a7<3;o10qo:lf;290?6=8r.>9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo:k8;297?6=8r.>9k46d:J5`f=O=?;0(;k=:543?!?0208=7)9n:3f8m27=83.=i?4<6:l5a5<732c<>7>5$7g1>605<#>l818>h4;|`7g`<72=0;6=u+54d9ed=O>mi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|`7`2<72:0;6=u+54d9=a=O>mi0D88>;%4f6?2182.2;77=6:&4e?b;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098k15d290/:h<542d8?xd3km0;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd3l?0;684?:1y'10`=i;1C:im4H442?!0b:3>=<6*67;;12>"0i390e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=91<7*9e3802>h1m90976g84;29 3c52:<0b;k?:298k15d290/:h<542d8?xd3kk0;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd3kh0;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd3l<0;6>4?:1y'10`=1m1C:im4H442?!0b:3>=<6*67;;12>"0i3n0e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=5}#=7o6;%;4><413`>:6=4+6d093===n<1o1<7*9e3875}#=:?1b8<4?:%4f6?1132c2:7>5$7g1>20<3f>8o7>5$7g1>15a32wi8oj50;194?6|,N2>81/:h<56ec8 <1=1;<0e9?50;&5a7<0>21b5;4?:%4f6?1132e??n4?:%4f6?24n21vn9o;:187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn9ll:180>5<7s-?>j78kb:J5`f=O=?;0(;k=:7fb?!?0208=7d:>:18'2`4=??10e4850;&5a7<0>21d8>m50;&5a7<3;o10qo:n3;290?6=8r.>9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo:mb;297?6=8r.>9k49dc9K2ae<@<<:7)8j2;4ge>">?339:6g;1;29 3c52><07d79:18'2`4=??10c9=l:18'2`4=<:l07pl;a383>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl;b`83>6<729q/98h56e`8L3bd3A?==6*9e385`d=#1>02>;5f4083>!0b:3==76g66;29 3c52><07b:">?339:6g;1;29 3c52>207d:n:18'2`4=?>10e96j:18'2`4=<1l07b::<5+6d092ag<,0=15?84i5394?"1m;0<:65f9783>!0b:3==76a;3b83>!0b:3>8j65rb5;e>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb5`4>5<4290;w);:f;4gf>N1lj1C9;?4$7g1>3bf3-3<64<9;h62>5<#>l81;;54i8494?"1m;0<:65`42a94?"1m;0??k54}c6:a?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c6a2?6=;3:1mi0D88>;%4f6?0ci2.2;77=6:k75?6=,?o96:84;h;5>5<#>l81;;54o51`>5<#>l818>h4;|`7=a<72=0;6=u+54d9ed=O>mi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|`7f0<72:0;6=u+54d92ad<@?nh7E;91:&5a7<1lh1/5:46279j04<72-799;:k:2?6=,?o96:84;n60g?6=,?o969=i;:a01<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:a0g2=8391<7>t$47e>3be3Amk0(4959348m17=83.=i?486:9j=3<72-799;:m77f<72-7:797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:i3:187>50z&61c798;:k7<`<72-7:7f:9l06e=83.=i?4;3g98yg2e:3:1?7>50z&61c<1lk1C:im4H442?!0b:3o393:1(;k=:648?l?1290/:h<57798k15d290/:h<542d8?xd3100;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd3j80;6>4?:1y'10`=>mh0D;jl;I755>"1m;0=hl5+968:63=n<80;6)8j2;55?>o>>3:1(;k=:648?j24k3:1(;k=:51e?>{e<021<7:50;2x 03a2hk0D;jl;I755>"1m;0j56*67;;12>o393:1(;k=:6:8?l2f290/:h<57698m1>b290/:h<549d8?j24k3:1(;k=:51e?>{el81:io4$859=70=n1?0;6)8j2;55?>i3;j0;6)8j2;60b>=zj=3<6=4;:183!32n3kj7E8kc:J624=#>l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj=km6=4<:183!32n3<413`>:6=4+6d0933==h<:i1<7*9e3877c=2:7>54;294~"2=o0jm6F9db9K137<,?o96l74$859=70=no30l0;6)8j2;6;b>=h<:i1<7*9e3877c=h:7>53;294~"2=o0=ho5G6ea8L0063-78ka:&:3??5>2c?=7>5$7g1>20<3`3=6=4+6d0933=5}#=7o6;%;4><413`>:6=4+6d093===n<1o1<7*9e3875}#=:?1b8<4?:%4f6?1132c2:7>5$7g1>20<3f>8o7>5$7g1>15a32wi8ll50;694?6|,2c?=7>5$7g1>2><3`>j6=4+6d0932=8o7>5$7g1>15a32wi8n:50;194?6|,N2>81/:h<56ec8 <1=1;<0e9?50;&5a7<0>21b5;4?:%4f6?1132e??n4?:%4f6?24n21vn9on:187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn9m<:180>5<7s-?>j78kb:J5`f=O=?;0(;k=:7fb?!?0208=7d:>:18'2`4=??10e4850;&5a7<0>21d8>m50;&5a7<3;o10qo:n9;290?6=8r.>9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo:l2;297?6=8r.>9k49dc9K2ae<@<<:7)8j2;4ge>">?339:6g;1;29 3c52><07d79:18'2`4=??10c9=l:18'2`4=<:l07pl;a983>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl;c083>6<729q/98h56e`8L3bd3A?==6*9e385`d=#1>02>;5f4083>!0b:3==76g66;29 3c52><07b:">?339:6g;1;29 3c52>207d:n:18'2`4=?>10e96j:18'2`4=<1l07b::<5+6d092ag<,0=15?84i5394?"1m;0<:65f9783>!0b:3==76a;3b83>!0b:3>8j65rb5c5>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb5`e>5<4290;w);:f;4gf>N1lj1C9;?4$7g1>3bf3-3<64<9;h62>5<#>l81;;54i8494?"1m;0<:65`42a94?"1m;0??k54}c6b1?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c6a=?6=;3:1mi0D88>;%4f6?0ci2.2;77=6:k75?6=,?o96:84;h;5>5<#>l81;;54o51`>5<#>l818>h4;|`7e5<72=0;6=u+54d9ed=O>mi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|`7e`<72:0;6=u+54d92ad<@?nh7E;91:&5a7<1lh1/5:46279j04<72-799;:k:2?6=,?o96:84;n60g?6=,?o969=i;:a0<3=83>1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:a10g=8321<7>t$47e>0g<@?nh7E;91:&4e?4<,?o9697>;I67a>">?339:6g21b89j50;&5a7<31:10c9:l:18'2`4=<:l07d:60;29 3c52=3;7E;90:9j037=83.=i?4;609K136<3`>3i7>5$7g1>1?332wi9=750;:94?6|,1?63A>?i6*67;;12>o4m3:1(;k=:518?l2?290/:h<57798m12c290/:h<54818?j23k3:1(;k=:51e?>o383:1(;k=:528?l2>83:1(;k=:5;3?M31821b8;?50;&5a7<3>81C9;>4;h6;a?6=,?o9697;;:a15>=8321<7>t$47e>0?<@?nh7E;91:&4e?4<,?o9697>;I67a>">?339:6g3i7>5$7g1>1?332wi9=950;:94?6|,1?63A>?i6*67;;12>o4m3:1(;k=:518?l2?290/:h<57798m12c290/:h<54818?j23k3:1(;k=:51e?>o383:1(;k=:528?l2>83:1(;k=:5;3?M31821b8;?50;&5a7<3>81C9;>4;h6;a?6=,?o9697;;:a150=8321<7>t$47e>0?<@?nh7E;91:&4e?4<,?o9697>;I67a>">?339:6g3i7>5$7g1>1?332wi9=;50;:94?6|,1?63A>?i6*67;;12>o4m3:1(;k=:518?l2?290/:h<57798m12c290/:h<54818?j23k3:1(;k=:51e?>o383:1(;k=:528?l2>83:1(;k=:5;3?M31821b8;?50;&5a7<3>81C9;>4;h6;a?6=,?o9697;;:a155=8321<7>t$47e>0?<@?nh7E;91:&4e?4<,?o9697>;I67a>">?339:6g3i7>5$7g1>1?332wi9=<50;:94?6|,1?63A>?i6*67;;12>o4m3:1(;k=:518?l2?290/:h<57798m12c290/:h<54818?j23k3:1(;k=:51e?>o383:1(;k=:528?l2>83:1(;k=:5;3?M31821b8;?50;&5a7<3>81C9;>4;h6;a?6=,?o9697;;:a157=8321<7>t$47e>0?<@?nh7E;91:&4e?4<,?o9697>;I67a>">?339:6g3i7>5$7g1>1?332wi9=>50;:94?6|,1?63A>?i6*67;;12>o4m3:1(;k=:518?l2?290/:h<57798m12c290/:h<54818?j23k3:1(;k=:51e?>o383:1(;k=:528?l2>83:1(;k=:5;3?M31821b8;?50;&5a7<3>81C9;>4;h6;a?6=,?o9697;;:a0c`=8321<7>t$47e>0?<@?nh7E;91:&4e?4<,?o9697>;I67a>">?339:6g3i7>5$7g1>1?332wi8kk50;:94?6|,1?63A>?i6*67;;12>o4m3:1(;k=:518?l2?290/:h<57798m12c290/:h<54818?j23k3:1(;k=:51e?>o383:1(;k=:528?l2>83:1(;k=:5;3?M31821b8;?50;&5a7<3>81C9;>4;h6;a?6=,?o9697;;:a0cb=8321<7>t$47e>0?<@?nh7E;91:&4e?4<,?o9697>;I67a>">?339:6g3i7>5$7g1>1?332wi8km50;:94?6|,1?63A>?i6*67;;12>o4m3:1(;k=:518?l2?290/:h<57798m12c290/:h<54818?j23k3:1(;k=:51e?>o383:1(;k=:528?l2>83:1(;k=:5;3?M31821b8;?50;&5a7<3>81C9;>4;h6;a?6=,?o9697;;:a0cd=8321<7>t$47e>0?<@?nh7E;91:&4e?4<,?o9697>;I67a>">?339:6g3i7>5$7g1>1?332wi8ko50;:94?6|,1?63A>?i6*67;;12>o4m3:1(;k=:518?l2?290/:h<57798m12c290/:h<54818?j23k3:1(;k=:51e?>o383:1(;k=:528?l2>83:1(;k=:5;3?M31821b8;?50;&5a7<3>81C9;>4;h6;a?6=,?o9697;;:a146=8321<7>t$47e>0?<@?nh7E;91:&4e?4<,?o9697>;I67a>">?339:6g3i7>5$7g1>1?332wi9=h50;:94?6|,1?63A>?i6*67;;12>o4m3:1(;k=:518?l2?290/:h<57798m12c290/:h<54818?j23k3:1(;k=:51e?>o383:1(;k=:528?l2>83:1(;k=:5;3?M31821b8;?50;&5a7<3>81C9;>4;h6;a?6=,?o9697;;:a15c=8321<7>t$47e>0?<@?nh7E;91:&4e?4<,?o9697>;I67a>">?339:6g3i7>5$7g1>1?332wi9=j50;:94?6|,1?63A>?i6*67;;12>o4m3:1(;k=:518?l2?290/:h<57798m12c290/:h<54818?j23k3:1(;k=:51e?>o383:1(;k=:528?l2>83:1(;k=:5;3?M31821b8;?50;&5a7<3>81C9;>4;h6;a?6=,?o9697;;:a15e=8321<7>t$47e>0?<@?nh7E;91:&4e?4<,?o9697>;I67a>">?339:6g3i7>5$7g1>1?332wi9=l50;:94?6|,1?63A>?i6*67;;12>o4m3:1(;k=:518?l2?290/:h<57798m12c290/:h<54818?j23k3:1(;k=:51e?>o383:1(;k=:528?l2>83:1(;k=:5;3?M31821b8;?50;&5a7<3>81C9;>4;h6;a?6=,?o9697;;:a15g=8321<7>t$47e>0?<@?nh7E;91:&4e?4<,?o9697>;I67a>">?339:6g3i7>5$7g1>1?332wi9=:50;:94?6|,1?63A>?i6*67;;12>o4m3:1(;k=:518?l2?290/:h<57798m12c290/:h<54818?j23k3:1(;k=:51e?>o383:1(;k=:528?l2>83:1(;k=:5;3?M31821b8;?50;&5a7<3>81C9;>4;h6;a?6=,?o9697;;:a0c?=8321<7>t$47e>0?<@?nh7E;91:&4e?4<,?o9697>;I67a>">?339:6g3i7>5$7g1>1?332wi8k650;:94?6|,1?63A>?i6*67;;12>o4m3:1(;k=:518?l2?290/:h<57798m12c290/:h<54818?j23k3:1(;k=:51e?>o383:1(;k=:528?l2>83:1(;k=:5;3?M31821b8;?50;&5a7<3>81C9;>4;h6;a?6=,?o9697;;:a1de=8391<7>t$47e>3be3Amk0(4959348m17=83.=i?486:9j=3<72-799;:m77f<72-7:n3:187>50z&61c798;:k7<`<72-7:7f:9l06e=83.=i?4;3g98yg3fi3:1?7>50z&61c<1lk1C:im4H442?!0b:3o393:1(;k=:648?l?1290/:h<57798k15d290/:h<542d8?xd21m0;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd2i00;6>4?:1y'10`=>mh0D;jl;I755>"1m;0=hl5+968:63=n<80;6)8j2;55?>o>>3:1(;k=:648?j24k3:1(;k=:51e?>{e=0h1<7:50;2x 03a2hk0D;jl;I755>"1m;0j56*67;;12>o393:1(;k=:6:8?l2f290/:h<57698m1>b290/:h<549d8?j24k3:1(;k=:51e?>{e=h21<7=50;2x 03a2?ni7E8kc:J624=#>l81:io4$859=70=n1?0;6)8j2;55?>i3;j0;6)8j2;60b>=zj<326=4;:183!32n3kj7E8kc:J624=#>l81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj<413`>:6=4+6d0933==h<:i1<7*9e3877c=54;294~"2=o0jm6F9db9K137<,?o96l74$859=70=no30l0;6)8j2;6;b>=h<:i1<7*9e3877c=53;294~"2=o0=ho5G6ea8L0063-78ka:&:3??5>2c?=7>5$7g1>20<3`3=6=4+6d0933=584?:583>5}#=7o6;%;4><413`>:6=4+6d093===n<1o1<7*9e387m84?:283>5}#=:?1b8<4?:%4f6?1132c2:7>5$7g1>20<3f>8o7>5$7g1>15a32wi94=50;694?6|,2c?=7>5$7g1>2><3`>j6=4+6d0932=8o7>5$7g1>15a32wi9l:50;194?6|,N2>81/:h<56ec8 <1=1;<0e9?50;&5a7<0>21b5;4?:%4f6?1132e??n4?:%4f6?24n21vn87>:187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn8o<:180>5<7s-?>j78kb:J5`f=O=?;0(;k=:7fb?!?0208=7d:>:18'2`4=??10e4850;&5a7<0>21d8>m50;&5a7<3;o10qo;60;290?6=8r.>9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo;7f;297?6=8r.>9k49dc9K2ae<@<<:7)8j2;4ge>">?339:6g;1;29 3c52><07d79:18'2`4=??10c9=l:18'2`4=<:l07pl:8d83>6<729q/98h56e`8L3bd3A?==6*9e385`d=#1>02>;5f4083>!0b:3==76g66;29 3c52><07b:">?339:6g;1;29 3c52>207d:n:18'2`4=?>10e96j:18'2`4=<1l07b::<5+6d092ag<,0=15?84i5394?"1m;0<:65f9783>!0b:3==76a;3b83>!0b:3>8j65rb4:3>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb4:`>5<4290;w);:f;4gf>N1lj1C9;?4$7g1>3bf3-3<64<9;h62>5<#>l81;;54i8494?"1m;0<:65`42a94?"1m;0??k54}c74a?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c7;f?6=;3:1mi0D88>;%4f6?0ci2.2;77=6:k75?6=,?o96:84;h;5>5<#>l81;;54o51`>5<#>l818>h4;|`63f<72=0;6=u+54d9ed=O>mi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|`6799;:k:2?6=,?o96:84;n60g?6=,?o969=i;:a12g=83>1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:a1=?=8391<7>t$47e>3be3Amk0(4959348m17=83.=i?486:9j=3<72-799;:m77f<72-7:797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:?29086=4?{%76b?0cj2B=hn5G5738 3c52?nj7)78:805?l26290/:h<57798m<0=83.=i?486:9l06e=83.=i?4;3g98yg30>3:187>50z&61c798;:k7<`<72-7:7f:9l06e=83.=i?4;3g98yg3??3:1?7>50z&61c<1lk1C:im4H442?!0b:3o393:1(;k=:648?l?1290/:h<57798k15d290/:h<542d8?xd2?=0;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd20?0;6>4?:1y'10`=>mh0D;jl;I755>"1m;0=hl5+968:63=n<80;6)8j2;55?>o>>3:1(;k=:648?j24k3:1(;k=:51e?>{e=>91<7:50;2x 03a2hk0D;jl;I755>"1m;0j56*67;;12>o393:1(;k=:6:8?l2f290/:h<57698m1>b290/:h<549d8?j24k3:1(;k=:51e?>{e=l=1<7=50;2x 03a2?ni7E8kc:J624=#>l81:io4$859=70=n1?0;6)8j2;55?>i3;j0;6)8j2;60b>=zj<413`>:6=4+6d0933==h<:i1<7*9e3877c=54;294~"2=o0jm6F9db9K137<,?o96l74$859=70=no30l0;6)8j2;6;b>=h<:i1<7*9e3877c=53;294~"2=o0=ho5G6ea8L0063-78ka:&:3??5>2c?=7>5$7g1>20<3`3=6=4+6d0933=h54?:583>5}#=7o6;%;4><413`>:6=4+6d093===n<1o1<7*9e387i94?:283>5}#=:?1b8<4?:%4f6?1132c2:7>5$7g1>20<3f>8o7>5$7g1>15a32wi9i850;694?6|,2c?=7>5$7g1>2><3`>j6=4+6d0932=8o7>5$7g1>15a32wi9h=50;194?6|,N2>81/:h<56ec8 <1=1;<0e9?50;&5a7<0>21b5;4?:%4f6?1132e??n4?:%4f6?24n21vn8j;:187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn8k=:180>5<7s-?>j78kb:J5`f=O=?;0(;k=:7fb?!?0208=7d:>:18'2`4=??10e4850;&5a7<0>21d8>m50;&5a7<3;o10qo;k2;290?6=8r.>9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo;j1;297?6=8r.>9k49dc9K2ae<@<<:7)8j2;4ge>">?339:6g;1;29 3c52><07d79:18'2`4=??10c9=l:18'2`4=<:l07pl:d183>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl:e183>6<729q/98h56e`8L3bd3A?==6*9e385`d=#1>02>;5f4083>!0b:3==76g66;29 3c52><07b:">?339:6g;1;29 3c52>207d:n:18'2`4=?>10e96j:18'2`4=<1l07b::<5+6d092ag<,0=15?84i5394?"1m;0<:65f9783>!0b:3==76a;3b83>!0b:3>8j65rb4a`>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb4ff>5<4290;w);:f;4gf>N1lj1C9;?4$7g1>3bf3-3<64<9;h62>5<#>l81;;54i8494?"1m;0<:65`42a94?"1m;0??k54}c7`f?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c7`=?6=;3:1mi0D88>;%4f6?0ci2.2;77=6:k75?6=,?o96:84;h;5>5<#>l81;;54o51`>5<#>l818>h4;|`6g=<72:0;6=u+54d92ad<@?nh7E;91:&5a7<1lh1/5:46279j04<72-799;:k:2?6=,?o96:84;n60g?6=,?o969=i;:a1ge=83>1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:a1f1=8391<7>t$47e>3be3Amk0(4959348m17=83.=i?486:9j=3<72-799;:m77f<72-7:797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:50z&61c798;:k7<`<72-7:7f:9l06e=83.=i?4;3g98yg3d=3:1?7>50z&61c<1lk1C:im4H442?!0b:3o393:1(;k=:648?l?1290/:h<57798k15d290/:h<542d8?xd2j?0;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd2k=0;6>4?:1y'10`=>mh0D;jl;I755>"1m;0=hl5+968:63=n<80;6)8j2;55?>o>>3:1(;k=:648?j24k3:1(;k=:51e?>{e=k>1<7:50;2x 03a2hk0D;jl;I755>"1m;0j56*67;;12>o393:1(;k=:6:8?l2f290/:h<57698m1>b290/:h<549d8?j24k3:1(;k=:51e?>{e=j91<7=50;2x 03a2?ni7E8kc:J624=#>l81:io4$859=70=n1?0;6)8j2;55?>i3;j0;6)8j2;60b>=zjl81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj<413`>:6=4+6d0933==h<:i1<7*9e3877c=54;294~"2=o0jm6F9db9K137<,?o96l74$859=70=no30l0;6)8j2;6;b>=h<:i1<7*9e3877c=53;294~"2=o0=ho5G6ea8L0063-78ka:&:3??5>2c?=7>5$7g1>20<3`3=6=4+6d0933=mh4?:583>5}#=7o6;%;4><413`>:6=4+6d093===n<1o1<7*9e387o=4?:283>5}#=:?1b8<4?:%4f6?1132c2:7>5$7g1>20<3f>8o7>5$7g1>15a32wi9lj50;694?6|,2c?=7>5$7g1>2><3`>j6=4+6d0932=8o7>5$7g1>15a32wi:?750;194?6|,N2>81/:h<56ec8 <1=1;<0e9?50;&5a7<0>21b5;4?:%4f6?1132e??n4?:%4f6?24n21vn;<7:180>5<7s-?>j78kb:J5`f=O=?;0(;k=:7fb?!?0208=7d:>:18'2`4=??10e4850;&5a7<0>21d8>m50;&5a7<3;o10qo8>2;290?6=8r.>9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo8=7;297?6=8r.>9k49dc9K2ae<@<<:7)8j2;4ge>">?339:6g;1;29 3c52><07d79:18'2`4=??10c9=l:18'2`4=<:l07pl91083>1<729q/98h5a`9K2ae<@<<:7)8j2;c:?!?0208=7d:>:18'2`4=?110e9o50;&5a7<0?21b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl92783>6<729q/98h56e`8L3bd3A?==6*9e385`d=#1>02>;5f4083>!0b:3==76g66;29 3c52><07b:">?339:6g;1;29 3c52>207d:n:18'2`4=?>10e96j:18'2`4=<1l07b::<5+6d092ag<,0=15?84i5394?"1m;0<:65f9783>!0b:3==76a;3b83>!0b:3>8j65rb72f>5<3290;w);:f;cb?M0ck2B>:<5+6d09e<=#1>02>;5f4083>!0b:3=376g;a;29 3c52>=07d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb707>5<4290;w);:f;4gf>N1lj1C9;?4$7g1>3bf3-3<64<9;h62>5<#>l81;;54i8494?"1m;0<:65`42a94?"1m;0??k54}c43`?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i5394?"1m;0<465f4`83>!0b:3=<76g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c417?6=;3:1mi0D88>;%4f6?0ci2.2;77=6:k75?6=,?o96:84;h;5>5<#>l81;;54o51`>5<#>l818>h4;|`54f<72=0;6=u+54d9ed=O>mi0D88>;%4f6?g>3-3<64<9;h62>5<#>l81;554i5c94?"1m;0<;65f49g94?"1m;0?4k54o51`>5<#>l818>h4;|`567<72:0;6=u+54d92ad<@?nh7E;91:&5a7<1lh1/5:46279j04<72-799;:k:2?6=,?o96:84;n60g?6=,?o969=i;:a25d=83>1<7>t$47e>dg<@?nh7E;91:&5a75<#>l81;:54i5:f>5<#>l8185h4;n60g?6=,?o969=i;:a277=8391<7>t$47e>3be3Amk0(4959348m17=83.=i?486:9j=3<72-799;:m77f<72-7:290?6=4?{%76b?gf3A797;:k7e?6=,?o96:94;h6;a?6=,?o9696i;:m77f<72-7:50z&61c<1lk1C:im4H442?!0b:3o393:1(;k=:648?l?1290/:h<57798k15d290/:h<542d8?xd2n:0;694?:1y'10`=ih1C:im4H442?!0b:3k27)78:805?l26290/:h<57998m1g=83.=i?487:9j0=c=83.=i?4;8g98k15d290/:h<542d8?xd18?0;6>4?:1y'10`=>mh0D;jl;I755>"1m;0=hl5+968:63=n<80;6)8j2;55?>o>>3:1(;k=:648?j24k3:1(;k=:51e?>{e=o;1<7:50;2x 03a2hk0D;jl;I755>"1m;0j56*67;;12>o393:1(;k=:6:8?l2f290/:h<57698m1>b290/:h<549d8?j24k3:1(;k=:51e?>{e>9?1<7=50;2x 03a2?ni7E8kc:J624=#>l81:io4$859=70=n1?0;6)8j2;55?>i3;j0;6)8j2;60b>=zjl81m45+968:63=n<80;6)8j2;5;?>o3i3:1(;k=:658?l2?m3:1(;k=:5:e?>i3;j0;6)8j2;60b>=zj?:?6=4<:183!32n3<413`>:6=4+6d0933==h<:i1<7*9e3877c=54;294~"2=o0jm6F9db9K137<,?o96l74$859=70=no30l0;6)8j2;6;b>=h<:i1<7*9e3877c=53;294~"2=o0=ho5G6ea8L0063-78ka:&:3??5>2c?=7>5$7g1>20<3`3=6=4+6d0933=ii4?:583>5}#=7o6;%;4><413`>:6=4+6d093===n<1o1<7*9e3875}#=:?1b8<4?:%4f6?1132c2:7>5$7g1>20<3f>8o7>5$7g1>15a32wi9hm50;694?6|,2c?=7>5$7g1>2><3`>j6=4+6d0932=8o7>5$7g1>15a32wi:=?50;194?6|,N2>81/:h<56ec8 <1=1;<0e9?50;&5a7<0>21b5;4?:%4f6?1132e??n4?:%4f6?24n21vn8km:187>5<7s-?>j7on;I4gg>N2>81/:h<5a89'=2<>:?1b8<4?:%4f6?1?32c?m7>5$7g1>21<3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn;>?:180>5<7s-?>j78kb:J5`f=O=?;0(;k=:7fb?!?0208=7d:>:18'2`4=??10e4850;&5a7<0>21d8>m50;&5a7<3;o10qo;j9;290?6=8r.>9k4na:J5`f=O=?;0(;k=:`;8 <1=1;<0e9?50;&5a7<0021b8l4?:%4f6?1032c?4h4?:%4f6?2?n21d8>m50;&5a7<3;o10qo8mc;299k4:9:J5`f=O=?;0(;k=:5;2?!1f2;1C89k4$859=70=n<10;6)8j2;55?>o3=h<=i1<7*9e3877c==n<0:1<7*9e387=5=O=?:07d:91;29 3c52=<:7E;90:9j0=c=83.=i?4;9598yg0ej3:147>50z&61c<212B=hn5G5738 3c52=3:7)9n:39K01c<,0=15?84i2g94?"1m;0??65f4983>!0b:3==76g;4e83>!0b:3>2?65`45a94?"1m;0??k54i5294?"1m;0?<65f48294?"1m;0?5=5G5728?l2193:1(;k=:542?M31821b85k50;&5a7<31=10qo8ma;299k4:9:J5`f=O=?;0(;k=:5;2?!1f2;1C89k4$859=70=n<10;6)8j2;55?>o3=h<=i1<7*9e3877c==n<0:1<7*9e387=5=O=?:07d:91;29 3c52=<:7E;90:9j0=c=83.=i?4;9598yg0e13:147>50z&61c<212B=hn5G5738 3c52=3:7)9n:39K01c<,0=15?84i2g94?"1m;0??65f4983>!0b:3==76g;4e83>!0b:3>2?65`45a94?"1m;0??k54i5294?"1m;0?<65f48294?"1m;0?5=5G5728?l2193:1(;k=:542?M31821b85k50;&5a7<31=10qo8m8;299k4:9:J5`f=O=?;0(;k=:5;2?!1f2;1C89k4$859=70=n<10;6)8j2;55?>o3=h<=i1<7*9e3877c==n<0:1<7*9e387=5=O=?:07d:91;29 3c52=<:7E;90:9j0=c=83.=i?4;9598yg0e?3:147>50z&61c<212B=hn5G5738 3c52=3:7)9n:39K01c<,0=15?84i2g94?"1m;0??65f4983>!0b:3==76g;4e83>!0b:3>2?65`45a94?"1m;0??k54i5294?"1m;0?<65f48294?"1m;0?5=5G5728?l2193:1(;k=:542?M31821b85k50;&5a7<31=10qo8m6;299k4:9:J5`f=O=?;0(;k=:5;2?!1f2;1C89k4$859=70=n<10;6)8j2;55?>o3=h<=i1<7*9e3877c==n<0:1<7*9e387=5=O=?:07d:91;29 3c52=<:7E;90:9j0=c=83.=i?4;9598yg0e=3:147>50z&61c<212B=hn5G5738 3c52=3:7)9n:39K01c<,0=15?84i2g94?"1m;0??65f4983>!0b:3==76g;4e83>!0b:3>2?65`45a94?"1m;0??k54i5294?"1m;0?<65f48294?"1m;0?5=5G5728?l2193:1(;k=:542?M31821b85k50;&5a7<31=10qo8m4;299k4:9:J5`f=O=?;0(;k=:5;2?!1f2;1C89k4$859=70=n<10;6)8j2;55?>o3=h<=i1<7*9e3877c==n<0:1<7*9e387=5=O=?:07d:91;29 3c52=<:7E;90:9j0=c=83.=i?4;9598yg0e;3:147>50z&61c<212B=hn5G5738 3c52=3:7)9n:39K01c<,0=15?84i2g94?"1m;0??65f4983>!0b:3==76g;4e83>!0b:3>2?65`45a94?"1m;0??k54i5294?"1m;0?<65f48294?"1m;0?5=5G5728?l2193:1(;k=:542?M31821b85k50;&5a7<31=10qo8k0;299k4:a:J5`f=O=?;0(;k=:5;2?!1f2;1C89k4$859=70=n<90;6)8j2;63?>o303:1(;k=:648?l23l3:1(;k=:5;0?>i3=n<0:1<7*9e387=5=O=?:07d:91;29 3c52=<:7E;90:9j0=c=83.=i?4;9598yg0dn3:147>50z&61c<2i2B=hn5G5738 3c52=3:7)9n:39K01c<,0=15?84i2g94?"1m;0??65f4183>!0b:3>;76g;8;29 3c52><07d:;d;29 3c52=3876a;4b83>!0b:3>8j65f48294?"1m;0?5=5G5728?l2193:1(;k=:542?M31821b85k50;&5a7<31=10qo8le;299k4:a:J5`f=O=?;0(;k=:5;2?!1f2;1C89k4$859=70=n<90;6)8j2;63?>o303:1(;k=:648?l23l3:1(;k=:5;0?>i3=n<0:1<7*9e387=5=O=?:07d:91;29 3c52=<:7E;90:9j0=c=83.=i?4;9598yg0dl3:147>50z&61c<2i2B=hn5G5738 3c52=3:7)9n:39K01c<,0=15?84i2g94?"1m;0??65f4183>!0b:3>;76g;8;29 3c52><07d:;d;29 3c52=3876a;4b83>!0b:3>8j65f48294?"1m;0?5=5G5728?l2193:1(;k=:542?M31821b85k50;&5a7<31=10qo8lc;299k4:a:J5`f=O=?;0(;k=:5;2?!1f2;1C89k4$859=70=n<90;6)8j2;63?>o303:1(;k=:648?l23l3:1(;k=:5;0?>i3=n<0:1<7*9e387=5=O=?:07d:91;29 3c52=<:7E;90:9j0=c=83.=i?4;9598yg0dj3:147>50z&61c<2i2B=hn5G5738 3c52=3:7)9n:39K01c<,0=15?84i2g94?"1m;0??65f4183>!0b:3>;76g;8;29 3c52><07d:;d;29 3c52=3876a;4b83>!0b:3>8j65f48294?"1m;0?5=5G5728?l2193:1(;k=:542?M31821b85k50;&5a7<31=10qo8la;299k4:a:J5`f=O=?;0(;k=:5;2?!1f2;1C89k4$859=70=n<90;6)8j2;63?>o303:1(;k=:648?l23l3:1(;k=:5;0?>i3=n<0:1<7*9e387=5=O=?:07d:91;29 3c52=<:7E;90:9j0=c=83.=i?4;9598yg0d13:147>50z&61c<2i2B=hn5G5738 3c52=3:7)9n:39K01c<,0=15?84i2g94?"1m;0??65f4183>!0b:3>;76g;8;29 3c52><07d:;d;29 3c52=3876a;4b83>!0b:3>8j65f48294?"1m;0?5=5G5728?l2193:1(;k=:542?M31821b85k50;&5a7<31=10qo8l8;299k4:a:J5`f=O=?;0(;k=:5;2?!1f2;1C89k4$859=70=n<90;6)8j2;63?>o303:1(;k=:648?l23l3:1(;k=:5;0?>i3=n<0:1<7*9e387=5=O=?:07d:91;29 3c52=<:7E;90:9j0=c=83.=i?4;9598yg0d?3:147>50z&61c<2i2B=hn5G5738 3c52=3:7)9n:39K01c<,0=15?84i2g94?"1m;0??65f4183>!0b:3>;76g;8;29 3c52><07d:;d;29 3c52=3876a;4b83>!0b:3>8j65f48294?"1m;0?5=5G5728?l2193:1(;k=:542?M31821b85k50;&5a7<31=10qo865;292?6=8r.>9k4:8:J5`f=O=?;0(;k=:4d8 2g=:2B?8h5+968:63=n;l0;6)8j2;60?>o383:1(;k=:528?l2?290/:h<57798k12d290/:h<542d8?l23j3:1(;k=:5;3?>o3=3:1(;k=:542?>{e>0>1<7850;2x 03a2<20D;jl;I755>"1m;0>j6*8a;08L12b3-3<64<9;h1f>5<#>l818>54i5294?"1m;0?<65f4983>!0b:3==76a;4b83>!0b:3>8j65f45`94?"1m;0?5=54i5794?"1m;0?:<54}c4:7?6=>3:1N1lj1C9;?4$7g1>0`<,>k1>6F;4d9'=2<>:?1b?h4?:%4f6?2432c?<7>5$7g1>16<3`>36=4+6d0933=h6=4+6d0906`<3`>?n7>5$7g1>1?732c?97>5$7g1>10632wi:4<50;494?6|,n7)78:805?l5b290/:h<54298m16=83.=i?4;0:9j0=<72-799;:m70f<72-7:93:1:7>50z&61c<202B=hn5G5738 3c5202>;5f3d83>!0b:3>876g;0;29 3c52=:07d:7:18'2`4=??10c9:l:18'2`4=<:l07d:;b;29 3c52=3;76g;5;29 3c52=<:76sm68294?0=83:p(8;i:4:8L3bd3A?==6*9e386b>"0i380D9:j;%;4><413`9n6=4+6d0906==n<10;6)8j2;55?>i3=n<=h1<7*9e387=5=56;294~"2=o0>46F9db9K137<,?o968h4$6c96>N37:<;:k74?6=,?o969>4;h6;>5<#>l81;;54o56`>5<#>l818>h4;h67f?6=,?o9697?;:k71?6=,?o9698>;:a2=c=83<1<7>t$47e>0><@?nh7E;91:&5a7<2n2.50;&5a7<3821b854?:%4f6?1132e?8n4?:%4f6?24n21b89l50;&5a7<31910e9;50;&5a7<3>810qo87d;292?6=8r.>9k4:8:J5`f=O=?;0(;k=:4d8 2g=:2B?8h5+968:63=n;l0;6)8j2;60?>o383:1(;k=:528?l2?290/:h<57798k12d290/:h<542d8?l23j3:1(;k=:5;3?>o3=3:1(;k=:542?>{e>1i1<7850;2x 03a2<20D;jl;I755>"1m;0>j6*8a;08L12b3-3<64<9;h1f>5<#>l818>54i5294?"1m;0?<65f4983>!0b:3==76a;4b83>!0b:3>8j65f45`94?"1m;0?5=54i5794?"1m;0?:<54}c46a?6=>3:1N1lj1C9;?4$7g1>0`<,>k1>6F;4d9'=2<>:?1b?h4?:%4f6?2432c?<7>5$7g1>16<3`>36=4+6d0933=h6=4+6d0906`<3`>?n7>5$7g1>1?732c?97>5$7g1>10632wi:8j50;494?6|,n7)78:805?l5b290/:h<54298m16=83.=i?4;0:9j0=<72-799;:m70f<72-7:50z&61c<202B=hn5G5738 3c5202>;5f3d83>!0b:3>876g;0;29 3c52=:07d:7:18'2`4=??10c9:l:18'2`4=<:l07d:;b;29 3c52=3;76g;5;29 3c52=<:76sm64`94?0=83:p(8;i:4:8L3bd3A?==6*9e386b>"0i380D9:j;%;4><413`9n6=4+6d0906==n<10;6)8j2;55?>i3=n<=h1<7*9e387=5=m7>56;294~"2=o0>46F9db9K137<,?o968h4$6c96>N37:<;:k74?6=,?o969>4;h6;>5<#>l81;;54o56`>5<#>l818>h4;h67f?6=,?o9697?;:k71?6=,?o9698>;:a20?=83<1<7>t$47e>0><@?nh7E;91:&5a7<2n2.50;&5a7<3821b854?:%4f6?1132e?8n4?:%4f6?24n21b89l50;&5a7<31910e9;50;&5a7<3>810qo8:8;292?6=8r.>9k4:8:J5`f=O=?;0(;k=:4d8 2g=:2B?8h5+968:63=n;l0;6)8j2;60?>o383:1(;k=:528?l2?290/:h<57798k12d290/:h<542d8?l23j3:1(;k=:5;3?>o3=3:1(;k=:542?>{e><=1<7850;2x 03a2<20D;jl;I755>"1m;0>j6*8a;08L12b3-3<64<9;h1f>5<#>l818>54i5294?"1m;0?<65f4983>!0b:3==76a;4b83>!0b:3>8j65f45`94?"1m;0?5=54i5794?"1m;0?:<54}c462?6=>3:1N1lj1C9;?4$7g1>0`<,>k1>6F;4d9'=2<>:?1b?h4?:%4f6?2432c?<7>5$7g1>16<3`>36=4+6d0933=h6=4+6d0906`<3`>?n7>5$7g1>1?732c?97>5$7g1>10632wi:8;50;494?6|,n7)78:805?l5b290/:h<54298m16=83.=i?4;0:9j0=<72-799;:m70f<72-7:50z&61c<212B=hn5G5738 3c52=3:7)9n:39K01c<,0=15?84i2g94?"1m;0??65f4983>!0b:3==76g;4e83>!0b:3>2?65`45a94?"1m;0??k54i5294?"1m;0?<65f48294?"1m;0?5=5G5728?l2193:1(;k=:542?M31821b85k50;&5a7<31=10qo8=f;299k4:9:J5`f=O=?;0(;k=:5;2?!1f2;1C89k4$859=70=n<10;6)8j2;55?>o3=h<=i1<7*9e3877c==n<0:1<7*9e387=5=O=?:07d:91;29 3c52=<:7E;90:9j0=c=83.=i?4;9598yg31;3:147>50z&61c<212B=hn5G5738 3c52=3:7)9n:39K01c<,0=15?84i2g94?"1m;0??65f4983>!0b:3==76g;4e83>!0b:3>2?65`45a94?"1m;0??k54i5294?"1m;0?<65f48294?"1m;0?5=5G5728?l2193:1(;k=:542?M31821b85k50;&5a7<31=10qo;9a;299k4:9:J5`f=O=?;0(;k=:5;2?!1f2;1C89k4$859=70=n<10;6)8j2;55?>o3=h<=i1<7*9e3877c==n<0:1<7*9e387=5=O=?:07d:91;29 3c52=<:7E;90:9j0=c=83.=i?4;9598yg0303:147>50z&61c<212B=hn5G5738 3c52=3:7)9n:39K01c<,0=15?84i2g94?"1m;0??65f4983>!0b:3==76g;4e83>!0b:3>2?65`45a94?"1m;0??k54i5294?"1m;0?<65f48294?"1m;0?5=5G5728?l2193:1(;k=:542?M31821b85k50;&5a7<31=10qo9nf;290?6=8r.>9k4n0:J5`f=O=?;0(;k=:543?!?0208=7)9n:79j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65f7283>!0b:39=7c8j0;08?j24k3:1(;k=:51e?>{e?0i1<7;50;2x 03a2h80D;jl;I755>"1m;0?:=5+968:63=#?h0::6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj>k96=4::183!32n3k97E8kc:J624=#>l818;>4$859=70<,>k1==5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921b;>4?:%4f6?513g5<#>l81?;5a6d297>=h<:i1<7*9e3877c=53;294~"2=o02h6F9db9K137<,?o9698?;%;4><413-=j6?94i6394?"1m;08:6`9e183?>o0:3:1(;k=:248j3c72810c9=l:18'2`4=<:l07pl8a583>0<729q/98h5a39K2ae<@<<:7)8j2;654>">?339:6*8a;0:?l16290/:h<5379m2`6=821b;?4?:%4f6?513g5<#>l81?;5a6d296>=n?=0;6)8j2;15?k0b83907b:02>;5+7`801>o093:1(;k=:248j3c72910e:<50;&5a7<4>2d=i=4>;:k47?6=,?o96>84n7g3>7=>1<7*9e3802>h1m90876a;3b83>!0b:3>8j65rb444>5<2290;w);:f;c1?M0ck2B>:<5+6d09036<,0=15?84$6c921=n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9j36<72-7=9;o4f4?4<3`=?6=4+6d0973=i>l:1?65`42a94?"1m;0??k54}c4g1?6=<3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>7>;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098m25=83.=i?4<6:l5a5<532e??n4?:%4f6?24n21vn88k:187>5<7s-?>j7o?;I4gg>N2>81/:h<54728 <1=1;<0(:o5549j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65f7283>!0b:39=7c8j0;08?j24k3:1(;k=:51e?>{e=?i1<7;50;2x 03a2h80D;jl;I755>"1m;0?:=5+968:63=#?h087d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3`=86=4+6d0973=i>l:1>65f7583>!0b:39=7c8j0;18?j24k3:1(;k=:51e?>{e==31<7=50;2x 03a20n0D;jl;I755>"1m;0?:=5+968:63=#?h0:>6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632e??n4?:%4f6?24n21vn8:8:180>5<7s-?>j77k;I4gg>N2>81/:h<54728 <1=1;<0(:o5139j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65`42a94?"1m;0??k54}c771?6=;3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>44;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098k15d290/:h<542d8?xd1??0;694?:1y'10`=i91C:im4H442?!0b:3>=<6*67;;12>"0i320e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=91<7*9e3802>h1m90976a;3b83>!0b:3>8j65rb460>5<4290;w);:f;;g?M0ck2B>:<5+6d09036<,0=15?84$6c957=n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9l06e=83.=i?4;3g98yg3393:1?7>50z&61c<>l2B=hn5G5738 3c52=<;7)78:805?!1f2880e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=?i4?:283>5}#=7:90:&:3??5>2.5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07b:02>;5+7`826>o093:1(;k=:248j3c72910e:<50;&5a7<4>2d=i=4>;:m77f<72-7:29086=4?{%76b??c3A4;h51>5<#>l81?;5a6d295>=h<:i1<7*9e3877c=53;294~"2=o02h6F9db9K137<,?o9698?;%;4><413-=j6<<4i6394?"1m;08:6`9e183?>o0:3:1(;k=:248j3c72810c9=l:18'2`4=<:l07pl:3483>6<729q/98h59e9K2ae<@<<:7)8j2;654>">?339:6*8a;31?l16290/:h<5379m2`6=821b;?4?:%4f6?513gt$47e>91/5:46279'3d<6:2c<=7>5$7g1>60i3;j0;6)8j2;60b>=zj?=j6=4;:183!32n3k;7E8kc:J624=#>l818;>4$859=70<,>k19o5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921b;>4?:%4f6?513g=83?1<7>t$47e>d4<@?nh7E;91:&5a7<3>91/5:46279'3d<43`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?l14290/:h<5379m2`6=:21b;94?:%4f6?513gt$47e>91/5:46279'3d<6:2c<=7>5$7g1>60i3;j0;6)8j2;60b>=zj<8m6=4<:183!32n33o7E8kc:J624=#>l818;>4$859=70<,>k1=?5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921d8>m50;&5a7<3;o10qo;=d;297?6=8r.>9k46d:J5`f=O=?;0(;k=:543?!?0208=7)9n:008m27=83.=i?4<6:l5a5<732c<>7>5$7g1>605<#>l818>h4;|`66g<72:0;6=u+54d9=a=O>mi0D88>;%4f6?2182.2;77=6:&4e?753`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?j24k3:1(;k=:51e?>{e=<31<7=50;2x 03a20n0D;jl;I755>"1m;0?:=5+968:63=#?h0:>6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632e??n4?:%4f6?24n21vn8;8:180>5<7s-?>j77k;I4gg>N2>81/:h<54728 <1=1;<0(:o5139j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65`42a94?"1m;0??k54}c761?6=;3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>44;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098k15d290/:h<542d8?xd2=:0;6>4?:1y'10`=1m1C:im4H442?!0b:3>=<6*67;;12>"0i3;97d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3f>8o7>5$7g1>15a32wi98?50;194?6|,:?1/;l4>2:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76a;3b83>!0b:3>8j65rb46e>5<4290;w);:f;;g?M0ck2B>:<5+6d09036<,0=15?84$6c957=n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9l06e=83.=i?4;3g98yg33l3:1?7>50z&61c<>l2B=hn5G5738 3c52=<;7)78:805?!1f2880e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=8o4?:283>5}#=7:90:&:3??5>2.5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07b:02>;5+7`826>o093:1(;k=:248j3c72910e:<50;&5a7<4>2d=i=4>;:m77f<72-7:6=4?{%76b?g53A<1b;<4?:%4f6?513g4;h51>5<#>l81?;5a6d295>=n?:0;6)8j2;15?k0b83807d9;:18'2`4=;?1e:h>53:9l06e=83.=i?4;3g98yg00?3:197>50z&61c4;h51>5<#>l81?;5a6d295>=n?:0;6)8j2;15?k0b83807d9;:18'2`4=;?1e:h>53:9l06e=83.=i?4;3g98yg00j3:197>50z&61c2d=i=4?;:k46?6=,?o96>84n7g3>4=91<7*9e3802>h1m90976g84;29 3c52:<0b;k?:298k15d290/:h<542d8?xd1?j0;684?:1y'10`=i;1C:im4H442?!0b:3>=<6*67;;12>"0i3i0e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=91<7*9e3802>h1m90976g84;29 3c52:<0b;k?:298k15d290/:h<542d8?xd1?m0;684?:1y'10`=i;1C:im4H442?!0b:3>=<6*67;;12>"0i3<>7d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3`=86=4+6d0973=i>l:1>65f7583>!0b:39=7c8j0;18?j24k3:1(;k=:51e?>{e>1;1<7:50;2x 03a2h:0D;jl;I755>"1m;0?:=5+968:63=#?h0?i6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>605<#>l818>h4;|`531<72<0;6=u+54d9e7=O>mi0D88>;%4f6?2182.2;77=6:&4e?7f3`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?l14290/:h<5379m2`6=:21b;94?:%4f6?513g1<7>t$47e>d6<@?nh7E;91:&5a7<3>91/5:46279'3d<2j2c<=7>5$7g1>60o0;3:1(;k=:248j3c72;10c9=l:18'2`4=<:l07pl7ad83>0<729q/98h5a39K2ae<@<<:7)8j2;654>">?339:6*8a;46?l16290/:h<5379m2`6=821b;?4?:%4f6?513g5<#>l81?;5a6d296>=n?=0;6)8j2;15?k0b83907b:02>;5+7`8a?l16290/:h<5379m2`6=821b;?4?:%4f6?513g5<#>l81?;5a6d296>=h<:i1<7*9e3877c=54;294~"2=o0j<6F9db9K137<,?o9698?;%;4><413-=j6>94i6394?"1m;08:6`9e183?>o0:3:1(;k=:248j3c72810e:=50;&5a7<4>2d=i=4=;:m77f<72-7:6=4?{%76b?g53A4;h51>5<#>l81?;5a6d295>=n?:0;6)8j2;15?k0b83807d9;:18'2`4=;?1e:h>53:9l06e=83.=i?4;3g98yg0a93:197>50z&61c2d=i=4?;:k46?6=,?o96>84n7g3>4=91<7*9e3802>h1m90976g84;29 3c52:<0b;k?:298k15d290/:h<542d8?xd1mo0;684?:1y'10`=i;1C:im4H442?!0b:3>=<6*67;;12>"0i38:7d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3`=86=4+6d0973=i>l:1>65f7583>!0b:39=7c8j0;18?j24k3:1(;k=:51e?>{e0k81<7;50;2x 03a2h80D;jl;I755>"1m;0?:=5+968:63=#?h0==6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj8936=4::183!32n3k97E8kc:J624=#>l818;>4$859=70<,>k1>95f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921b;>4?:%4f6?513g5<#>l81?;5a6d297>=h<:i1<7*9e3877c=54;294~"2=o0j<6F9db9K137<,?o9698?;%;4><413-=j6?=4i6394?"1m;08:6`9e183?>o0:3:1(;k=:248j3c72810e:=50;&5a7<4>2d=i=4=;:m77f<72-7:4;h51>5<#>l81?;5a6d295>=h<:i1<7*9e3877c=55;294~"2=o0j>6F9db9K137<,?o9698?;%;4><413-=j6>:4i6394?"1m;08:6`9e183?>o0:3:1(;k=:248j3c72810e:=50;&5a7<4>2d=i=4=;:k40?6=,?o96>84n7g3>6=5}#=7:90:&:3??5>2.o0:3:1(;k=:248j3c72810e:=50;&5a7<4>2d=i=4=;:k40?6=,?o96>84n7g3>6=5}#=7:90:&:3??5>2.o0:3:1(;k=:248j3c72810e:=50;&5a7<4>2d=i=4=;:m77f<72-7:6=4?{%76b?g53A4;h51>5<#>l81?;5a6d295>=n?:0;6)8j2;15?k0b83807d9;:18'2`4=;?1e:h>53:9l06e=83.=i?4;3g98yg74<3:197>50z&61c0e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=91<7*9e3802>h1m90976g84;29 3c52:<0b;k?:298k15d290/:h<542d8?xd6;;0;694?:1y'10`=i91C:im4H442?!0b:3>=<6*67;;12>"0i3887d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3`=86=4+6d0973=i>l:1>65`42a94?"1m;0??k54}c552?6=;3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>4c;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098k15d290/:h<542d8?xd0?j0;6>4?:1y'10`=1m1C:im4H442?!0b:3>=<6*67;;12>"0i38o7d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3f>8o7>5$7g1>15a32wi;;j50;794?6|,:?1/;l4=6:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76g83;29 3c52:<0b;k?:398m22=83.=i?4<6:l5a5<432e??n4?:%4f6?24n21vn><6:187>5<7s-?>j7o?;I4gg>N2>81/:h<54728 <1=1;<0(:o56:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76g83;29 3c52:<0b;k?:398k15d290/:h<542d8?xd0>k0;6>4?:1y'10`=1m1C:im4H442?!0b:3>=<6*67;;12>"0i38o7d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3f>8o7>5$7g1>15a32wi;8m50;794?6|,:?1/;l4=6:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76g83;29 3c52:<0b;k?:398m22=83.=i?4<6:l5a5<432e??n4?:%4f6?24n21vn>5<7s-?>j77k;I4gg>N2>81/:h<54728 <1=1;<0(:o54e9j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65`42a94?"1m;0??k54}c11f?6==3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>62;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098m25=83.=i?4<6:l5a5<532c<87>5$7g1>6054o51`>5<#>l818>h4;|`063<72<0;6=u+54d9e7=O>mi0D88>;%4f6?2182.2;77=6:&4e?533`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?l14290/:h<5379m2`6=:21b;94?:%4f6?513gt$47e>91/5:46279'3d<5?2c<=7>5$7g1>60i3;j0;6)8j2;60b>=zj0:n6=4<:183!32n33o7E8kc:J624=#>l818;>4$859=70<,>k1=?5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921d8>m50;&5a7<3;o10qo7?f;291?6=8r.>9k4n2:J5`f=O=?;0(;k=:543?!?0208=7)9n:218m27=83.=i?4<6:l5a5<732c<>7>5$7g1>60o0<3:1(;k=:248j3c72:10c9=l:18'2`4=<:l07pl<2483>1<729q/98h5a19K2ae<@<<:7)8j2;654>">?339:6*8a;48m27=83.=i?4<6:l5a5<732c<>7>5$7g1>60i3;j0;6)8j2;60b>=zj:836=4<:183!32n33o7E8kc:J624=#>l818;>4$859=70<,>k18i5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921d8>m50;&5a7<3;o10qo==7;291?6=8r.>9k4n2:J5`f=O=?;0(;k=:543?!?0208=7)9n:268m27=83.=i?4<6:l5a5<732c<>7>5$7g1>60o0<3:1(;k=:248j3c72:10c9=l:18'2`4=<:l07pl9f;296?6=8r.>9k4;3e9K2ae<@<<:7)8j2;1:?M1c3-=m69=i;%;4><413`=;6=4+6d0933=5}#=j4H7f`?M3192.=i?4<9:J4`>"0n3>8j6*67;;12>o083:1(;k=:648?j24k3:1(;k=:51e?>{e<=k1<7<50;2x 03a2=9o7E8kc:J624=#>l81?45G7e9'3c<3;o1/5:46279j35<72-799;:m77f<72-7:mi0D88>;%4f6?5>3A=o7)9i:51e?!?0208=7d9?:18'2`4=??10c9=l:18'2`4=<:l07pl;5e83>7<729q/98h542f8L3bd3A?==6*9e380=>N0l2.2c<<7>5$7g1>20<3f>8o7>5$7g1>15a32wi88m50;094?6|,N2>81/:h<5389K3a=#?o0??k5+968:63=n?90;6)8j2;55?>i3;j0;6)8j2;60b>=zj=?i6=4=:183!32n3>8h6F9db9K137<,?o96>74H6f8 2`=<:l0(4959348m26=83.=i?486:9l06e=83.=i?4;3g98yg22i3:1>7>50z&61c<3;m1C:im4H442?!0b:3927E9k;%5e>15a3-3<64<9;h53>5<#>l81;;54o51`>5<#>l818>h4;|`71<<72;0;6=u+54d906b<@?nh7E;91:&5a7<412B">?339:6g80;29 3c52><07b::<5+6d097<=O?m1/;k4;3g9'=2<>:?1b;=4?:%4f6?1132e??n4?:%4f6?24n21vn4;50;094?6|,N2>81/:h<5389K3a=#?o0??k5+968:63=n?90;6)8j2;55?>i3;j0;6)8j2;60b>=zj0>1<7<50;2x 03a2=9o7E8kc:J624=#>l81?45G7e9'3c<3;o1/5:46279j35<72-799;:m77f<72-7:t$47e>15c3A02>;5f7183>!0b:3==76a;3b83>!0b:3>8j65rb8094?4=83:p(8;i:51g?M0ck2B>:<5+6d097<=O?m1/;k4;3g9'=2<>:?1b;=4?:%4f6?1132e??n4?:%4f6?24n21vn4?50;094?6|,N2>81/:h<5389K3a=#?o0??k5+968:63=n?90;6)8j2;55?>i3;j0;6)8j2;60b>=zj0:1<7<50;2x 03a2=9o7E8kc:J624=#>l81?45G7e9'3c<3;o1/5:46279j35<72-799;:m77f<72-7:t$47e>15c3A02>;5f7183>!0b:3==76a;3b83>!0b:3>8j65rb9g94?4=83:p(8;i:51g?M0ck2B>:<5+6d097<=O?m1/;k4;3g9'=2<>:?1b;=4?:%4f6?1132e??n4?:%4f6?24n21vn>:j:180>5<7s-?>j77l;I4gg>N2>81/:h<54728 <1=1;<0(:o5319j34<72-7=9;o4f4?6<3f>8o7>5$7g1>15a32c<>7>5$7g1>60=zj:?:6=4<:183!32n33h7E8kc:J624=#>l818;>4$859=70<,>k1?=5f7083>!0b:39=7c8j0;28?j24k3:1(;k=:51e?>o0:3:1(;k=:248j3c7281e98k50:9~f45d29086=4?{%76b??d3A4;n60g?6=,?o969=i;:k46?6=,?o96>84n7g3>4=i=5<4290;w);:f;;`?M0ck2B>:<5+6d09036<,0=15?84$6c975=n?80;6)8j2;15?k0b83:07b::180>5<7s-?>j77l;I4gg>N2>81/:h<54728 <1=1;<0(:o5319j34<72-7=9;o4f4?6<3f>8o7>5$7g1>15a32c<>7>5$7g1>60=zj8>86=4<:183!32n33h7E8kc:J624=#>l818;>4$859=70<,>k1?=5f7083>!0b:39=7c8j0;28?j24k3:1(;k=:51e?>o0:3:1(;k=:248j3c7281e98k50:9~f42229086=4?{%76b??d3A4;n60g?6=,?o969=i;:k46?6=,?o96>84n7g3>4=i=5<4290;w);:f;;`?M0ck2B>:<5+6d09036<,0=15?84$6c975=n?80;6)8j2;15?k0b83:07b:5<7s-?>j77l;I4gg>N2>81/:h<54728 <1=1;<0(:o5319j34<72-7=9;o4f4?6<3f>8o7>5$7g1>15a32c<>7>5$7g1>60=zj8?i6=4<:183!32n33h7E8kc:J624=#>l818;>4$859=70<,>k1?=5f7083>!0b:39=7c8j0;28?j24k3:1(;k=:51e?>o0:3:1(;k=:248j3c7281e98k50:9~f43b29086=4?{%76b??d3A4;n60g?6=,?o969=i;:k46?6=,?o96>84n7g3>4=i=5<4290;w);:f;;`?M0ck2B>:<5+6d09036<,0=15?84$6c975=n?80;6)8j2;15?k0b83:07b:5<7s-?>j77l;I4gg>N2>81/:h<54728 <1=1;<0(:o5319j34<72-7=9;o4f4?6<3f>8o7>5$7g1>15a32c<>7>5$7g1>60=zj8l818;>4$859=70<,>k1?=5f7083>!0b:39=7c8j0;28?j24k3:1(;k=:51e?>o0:3:1(;k=:248j3c7281e98k50:9~f40129086=4?{%76b??d3A4;n60g?6=,?o969=i;:k46?6=,?o96>84n7g3>4=i=5<4290;w);:f;;`?M0ck2B>:<5+6d09036<,0=15?84$6c975=n?80;6)8j2;15?k0b83:07b:9<:180>5<7s-?>j77l;I4gg>N2>81/:h<54728 <1=1;<0(:o5319j34<72-7=9;o4f4?6<3f>8o7>5$7g1>15a32c<>7>5$7g1>60=zj:==6=4<:183!32n33h7E8kc:J624=#>l818;>4$859=70<,>k1?=5f7083>!0b:39=7c8j0;28?j24k3:1(;k=:51e?>o0:3:1(;k=:248j3c7281e98k50:9~fdb=8391<7>t$47e>91/5:46279'3d<482c<=7>5$7g1>605<#>l818>h4;h51>5<#>l81?;5a6d295>h2=l0;76smag83>6<729q/98h59b9K2ae<@<<:7)8j2;654>">?339:6*8a;13?l16290/:h<5379m2`6=821d8>m50;&5a7<3;o10e:<50;&5a7<4>2d=i=4>;o76a?6<3thhi7>53;294~"2=o02o6F9db9K137<,?o9698?;%;4><413-=j6>>4i6394?"1m;08:6`9e183?>i3;j0;6)8j2;60b>=n?;0;6)8j2;15?k0b83;0b8;j:198yg5>03:1?7>50z&61c<>k2B=hn5G5738 3c52=<;7)78:805?!1f2::0e:?50;&5a7<4>2d=i=4?;:m77f<72-7:7=9;o4f4?7N1lj1C9;?4$7g1>1073-3<64<9;%5b>66;1<7*9e3802>h1m90;76a;3b83>!0b:3>8j65f7383>!0b:39=7c8j0;38j03b2910qo?8a;297?6=8r.>9k46c:J5`f=O=?;0(;k=:543?!?0208=7)9n:228m27=83.=i?4<6:l5a5<732e??n4?:%4f6?24n21b;?4?:%4f6?513g5=53;294~"2=o02o6F9db9K137<,?o9698?;%;4><413-=j6>>4i6394?"1m;08:6`9e183?>i3;j0;6)8j2;60b>=n?;0;6)8j2;15?k0b83;0b8;j:198yg1003:1?7>50z&61c<>l2B=hn5G5738 3c52=<;7)78:805?!1f28o0e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=5}#=7:90:&:3??5>2.5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07d9<:18'2`4=;?1e:h>52:9l06e=83.=i?4;3g98yg7413:197>50z&61c0e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=91<7*9e3802>h1m90976g84;29 3c52:<0b;k?:298k15d290/:h<542d8?xd0?m0;6>4?:1y'10`=1m1C:im4H442?!0b:3>=<6*67;;12>"0i38o7d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3f>8o7>5$7g1>15a32wi?o950;194?6|,:?1/;l4<0:k45?6=,?o96>84n7g3>5=l:1=6`:5d83?>{e;kk1<7=50;2x 03a20i0D;jl;I755>"1m;0?:=5+968:63=#?h08<6g81;29 3c52:<0b;k?:198k15d290/:h<542d8?l15290/:h<5379m2`6=92d>9h4?;:a5<5=8391<7>t$47e>91/5:46279'3d<482c<=7>5$7g1>605<#>l818>h4;h51>5<#>l81?;5a6d295>h2=l0;76sm18494?5=83:p(8;i:8a8L3bd3A?==6*9e38725=#1>02>;5+7`804>o093:1(;k=:248j3c72910c9=l:18'2`4=<:l07d9=:18'2`4=;?1e:h>51:l61`<732wi;;950;194?6|,:?1/;l4>e:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76a;3b83>!0b:3>8j65rb010>5<3290;w);:f;c3?M0ck2B>:<5+6d09036<,0=15?84$6c966=n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9j36<72-7=9;o4f4?4<3f>8o7>5$7g1>15a32wi=>;50;794?6|,:?1/;l4=4:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76g83;29 3c52:<0b;k?:398m22=83.=i?4<6:l5a5<432e??n4?:%4f6?24n21vn:8l:180>5<7s-?>j77k;I4gg>N2>81/:h<54728 <1=1;<0(:o52e9j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65`42a94?"1m;0??k54}c0aa?6=;3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>66;1<7*9e3802>h1m90;76a;3b83>!0b:3>8j65f7383>!0b:39=7c8j0;38j03b2910qo9k46c:J5`f=O=?;0(;k=:543?!?0208=7)9n:228m27=83.=i?4<6:l5a5<732e??n4?:%4f6?24n21b;?4?:%4f6?513g5=ho7>53;294~"2=o02o6F9db9K137<,?o9698?;%;4><413-=j6>>4i6394?"1m;08:6`9e183?>i3;j0;6)8j2;60b>=n?;0;6)8j2;15?k0b83;0b8;j:198yg2d03:1?7>50z&61c<>k2B=hn5G5738 3c52=<;7)78:805?!1f2::0e:?50;&5a7<4>2d=i=4?;:m77f<72-7:7=9;o4f4?7N1lj1C9;?4$7g1>1073-3<64<9;%5b>66;1<7*9e3802>h1m90;76a;3b83>!0b:3>8j65f7383>!0b:39=7c8j0;38j03b2910qo;6e;297?6=8r.>9k46c:J5`f=O=?;0(;k=:543?!?0208=7)9n:228m27=83.=i?4<6:l5a5<732e??n4?:%4f6?24n21b;?4?:%4f6?513g5=53;294~"2=o02o6F9db9K137<,?o9698?;%;4><413-=j6>>4i6394?"1m;08:6`9e183?>i3;j0;6)8j2;60b>=n?;0;6)8j2;15?k0b83;0b8;j:198yg3>i3:1?7>50z&61c<>k2B=hn5G5738 3c52=<;7)78:805?!1f2::0e:?50;&5a7<4>2d=i=4?;:m77f<72-7:7=9;o4f4?7N1lj1C9;?4$7g1>1073-3<64<9;%5b>66;1<7*9e3802>h1m90;76a;3b83>!0b:3>8j65f7383>!0b:39=7c8j0;38j03b2910qo;66;297?6=8r.>9k46c:J5`f=O=?;0(;k=:543?!?0208=7)9n:228m27=83.=i?4<6:l5a5<732e??n4?:%4f6?24n21b;?4?:%4f6?513g5=53;294~"2=o02o6F9db9K137<,?o9698?;%;4><413-=j6>>4i6394?"1m;08:6`9e183?>i3;j0;6)8j2;60b>=n?;0;6)8j2;15?k0b83;0b8;j:198yg3>:3:1?7>50z&61c<>k2B=hn5G5738 3c52=<;7)78:805?!1f2::0e:?50;&5a7<4>2d=i=4?;:m77f<72-7:7=9;o4f4?7N1lj1C9;?4$7g1>1073-3<64<9;%5b>66;1<7*9e3802>h1m90;76a;3b83>!0b:3>8j65f7383>!0b:39=7c8j0;38j03b2910qo;71;297?6=8r.>9k46c:J5`f=O=?;0(;k=:543?!?0208=7)9n:228m27=83.=i?4<6:l5a5<732e??n4?:%4f6?24n21b;?4?:%4f6?513g5=53;294~"2=o02o6F9db9K137<,?o9698?;%;4><413-=j6>>4i6394?"1m;08:6`9e183?>i3;j0;6)8j2;60b>=n?;0;6)8j2;15?k0b83;0b8;j:198yg30l3:1?7>50z&61c<>k2B=hn5G5738 3c52=<;7)78:805?!1f2::0e:?50;&5a7<4>2d=i=4?;:m77f<72-7:7=9;o4f4?7N1lj1C9;?4$7g1>1073-3<64<9;%5b>66;1<7*9e3802>h1m90;76a;3b83>!0b:3>8j65f7383>!0b:39=7c8j0;38j03b2910qo;89;297?6=8r.>9k46c:J5`f=O=?;0(;k=:543?!?0208=7)9n:228m27=83.=i?4<6:l5a5<732e??n4?:%4f6?24n21b;?4?:%4f6?513g5=53;294~"2=o02o6F9db9K137<,?o9698?;%;4><413-=j6>>4i6394?"1m;08:6`9e183?>i3;j0;6)8j2;60b>=n?;0;6)8j2;15?k0b83;0b8;j:198yg30=3:1?7>50z&61c<>k2B=hn5G5738 3c52=<;7)78:805?!1f2::0e:?50;&5a7<4>2d=i=4?;:m77f<72-7:7=9;o4f4?7N1lj1C9;?4$7g1>1073-3<64<9;%5b>66;1<7*9e3802>h1m90;76a;3b83>!0b:3>8j65f7383>!0b:39=7c8j0;38j03b2910qo;k9;297?6=8r.>9k46c:J5`f=O=?;0(;k=:543?!?0208=7)9n:228m27=83.=i?4<6:l5a5<732e??n4?:%4f6?24n21b;?4?:%4f6?513g5=53;294~"2=o02o6F9db9K137<,?o9698?;%;4><413-=j6>>4i6394?"1m;08:6`9e183?>i3;j0;6)8j2;60b>=n?;0;6)8j2;15?k0b83;0b8;j:198yg3c=3:1?7>50z&61c<>k2B=hn5G5738 3c52=<;7)78:805?!1f2::0e:?50;&5a7<4>2d=i=4?;:m77f<72-7:7=9;o4f4?7N1lj1C9;?4$7g1>1073-3<64<9;%5b>66;1<7*9e3802>h1m90;76a;3b83>!0b:3>8j65f7383>!0b:39=7c8j0;38j03b2910qo;k1;297?6=8r.>9k46c:J5`f=O=?;0(;k=:543?!?0208=7)9n:228m27=83.=i?4<6:l5a5<732e??n4?:%4f6?24n21b;?4?:%4f6?513g5=53;294~"2=o02o6F9db9K137<,?o9698?;%;4><413-=j6>>4i6394?"1m;08:6`9e183?>i3;j0;6)8j2;60b>=n?;0;6)8j2;15?k0b83;0b8;j:198yg3dl3:1?7>50z&61c<>k2B=hn5G5738 3c52=<;7)78:805?!1f2::0e:?50;&5a7<4>2d=i=4?;:m77f<72-7:7=9;o4f4?7N1lj1C9;?4$7g1>1073-3<64<9;%5b>66;1<7*9e3802>h1m90;76a;3b83>!0b:3>8j65f7383>!0b:39=7c8j0;38j03b2910qo;mb;297?6=8r.>9k46c:J5`f=O=?;0(;k=:543?!?0208=7)9n:228m27=83.=i?4<6:l5a5<732e??n4?:%4f6?24n21b;?4?:%4f6?513g5=53;294~"2=o02o6F9db9K137<,?o9698?;%;4><413-=j6>>4i6394?"1m;08:6`9e183?>i3;j0;6)8j2;60b>=n?;0;6)8j2;15?k0b83;0b8;j:198yg3e?3:1?7>50z&61c<>k2B=hn5G5738 3c52=<;7)78:805?!1f2::0e:?50;&5a7<4>2d=i=4?;:m77f<72-7:7=9;o4f4?7N1lj1C9;?4$7g1>1073-3<64<9;%5b>66;1<7*9e3802>h1m90;76a;3b83>!0b:3>8j65f7383>!0b:39=7c8j0;38j03b2910qo;m3;297?6=8r.>9k46c:J5`f=O=?;0(;k=:543?!?0208=7)9n:228m27=83.=i?4<6:l5a5<732e??n4?:%4f6?24n21b;?4?:%4f6?513g5=53;294~"2=o02o6F9db9K137<,?o9698?;%;4><413-=j6>>4i6394?"1m;08:6`9e183?>i3;j0;6)8j2;60b>=n?;0;6)8j2;15?k0b83;0b8;j:198yg3fn3:1?7>50z&61c<>k2B=hn5G5738 3c52=<;7)78:805?!1f2::0e:?50;&5a7<4>2d=i=4?;:m77f<72-7:7=9;o4f4?7N1lj1C9;?4$7g1>1073-3<64<9;%5b>66;1<7*9e3802>h1m90;76a;3b83>!0b:3>8j65f7383>!0b:39=7c8j0;38j03b2910qo8?a;297?6=8r.>9k46c:J5`f=O=?;0(;k=:543?!?0208=7)9n:228m27=83.=i?4<6:l5a5<732e??n4?:%4f6?24n21b;?4?:%4f6?513g5=7>53;294~"2=o02o6F9db9K137<,?o9698?;%;4><413-=j6>>4i6394?"1m;08:6`9e183?>i3;j0;6)8j2;60b>=n?;0;6)8j2;15?k0b83;0b8;j:198yg3bm3:1?7>50z&61c<>k2B=hn5G5738 3c52=<;7)78:805?!1f2::0e:?50;&5a7<4>2d=i=4?;:m77f<72-7:7=9;o4f4?7N1lj1C9;?4$7g1>1073-3<64<9;%5b>66;1<7*9e3802>h1m90;76a;3b83>!0b:3>8j65f7383>!0b:39=7c8j0;38j03b2910qo?;f;297?6=8r.>9k46c:J5`f=O=?;0(;k=:543?!?0208=7)9n:228m27=83.=i?4<6:l5a5<732e??n4?:%4f6?24n21b;?4?:%4f6?513g5=53;294~"2=o02o6F9db9K137<,?o9698?;%;4><413-=j6>>4i6394?"1m;08:6`9e183?>i3;j0;6)8j2;60b>=n?;0;6)8j2;15?k0b83;0b8;j:198yg11n3:187>50z&61c2d=i=4?;:k46?6=,?o96>84n7g3>4=91<7*9e3802>h1m90976a;3b83>!0b:3>8j65rb67f>5<3290;w);:f;c3?M0ck2B>:<5+6d09036<,0=15?84$6c977=n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9j36<72-7=9;o4f4?4<3f>8o7>5$7g1>15a32wi9h650;194?6|,:?1/;l4<0:k45?6=,?o96>84n7g3>5=l:1=6`:5d83?>{e=jk1<7=50;2x 03a20i0D;jl;I755>"1m;0?:=5+968:63=#?h08<6g81;29 3c52:<0b;k?:198k15d290/:h<542d8?l15290/:h<5379m2`6=92d>9h4?;:a74b=8391<7>t$47e>91/5:46279'3d<3l2c<=7>5$7g1>60i3;j0;6)8j2;60b>=zj:;m6=4<:183!32n33o7E8kc:J624=#>l818;>4$859=70<,>k18i5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921d8>m50;&5a7<3;o10qo==1;297?6=8r.>9k46d:J5`f=O=?;0(;k=:543?!?0208=7)9n:5f8m27=83.=i?4<6:l5a5<732c<>7>5$7g1>605<#>l818>h4;|`066<72:0;6=u+54d9=a=O>mi0D88>;%4f6?2182.2;77=6:&4e?2c3`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?j24k3:1(;k=:51e?>{e;jk1<7;50;2x 03a2h80D;jl;I755>"1m;0?:=5+968:63=#?h0:56g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj:i96=4::183!32n3k97E8kc:J624=#>l818;>4$859=70<,>k1=n5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921b;>4?:%4f6?513g5<#>l81?;5a6d297>=h<:i1<7*9e3877c=55;294~"2=o0j>6F9db9K137<,?o9698?;%;4><413-=j6<74i6394?"1m;08:6`9e183?>o0:3:1(;k=:248j3c72810e:=50;&5a7<4>2d=i=4=;:k40?6=,?o96>84n7g3>6=5}#=7:90:&:3??5>2.5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07d9<:18'2`4=;?1e:h>52:9j31<72-7=9;o4f4?5<3f>8o7>5$7g1>15a32wi5=m50;194?6|,:?1/;l4=5:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76a;3b83>!0b:3>8j65rb2a:>5<2290;w);:f;c1?M0ck2B>:<5+6d09036<,0=15?84$6c95<=n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9j36<72-7=9;o4f4?4<3`=?6=4+6d0973=i>l:1?65`42a94?"1m;0??k54}c1`N1lj1C9;?4$7g1>1073-3<64<9;%5b>4d;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098m25=83.=i?4<6:l5a5<532e??n4?:%4f6?24n21vn>m8:180>5<7s-?>j77k;I4gg>N2>81/:h<54728 <1=1;<0(:o51d9j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65`42a94?"1m;0??k54}c54a?6==3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>42;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098m25=83.=i?4<6:l5a5<532c<87>5$7g1>6054o51`>5<#>l818>h4;|`0e6<72<0;6=u+54d9e7=O>mi0D88>;%4f6?2182.2;77=6:&4e?7d3`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?l14290/:h<5379m2`6=:21b;94?:%4f6?513g1<7>t$47e>d6<@?nh7E;91:&5a7<3>91/5:46279'3dl:1<65f7383>!0b:39=7c8j0;38?l14290/:h<5379m2`6=:21d8>m50;&5a7<3;o10qo669;290?6=8r.>9k4n0:J5`f=O=?;0(;k=:543?!?0208=7)9n:`9j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65f7283>!0b:39=7c8j0;08?j24k3:1(;k=:51e?>{e00=1<7:50;2x 03a2h:0D;jl;I755>"1m;0?:=5+968:63=#?h0j7d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3`=86=4+6d0973=i>l:1>65`42a94?"1m;0??k54}c::1?6=<3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>d=n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9j36<72-7=9;o4f4?4<3f>8o7>5$7g1>15a32wi?lo50;794?6|,:?1/;l4>9:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76g83;29 3c52:<0b;k?:398m22=83.=i?4<6:l5a5<432e??n4?:%4f6?24n21vn>o6:187>5<7s-?>j7o?;I4gg>N2>81/:h<54728 <1=1;<0(:o51c9j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65f7283>!0b:39=7c8j0;08?j24k3:1(;k=:51e?>{e;h21<7=50;2x 03a20n0D;jl;I755>"1m;0?:=5+968:63=#?h0:i6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632e??n4?:%4f6?24n21vn57<:187>5<7s-?>j7o?;I4gg>N2>81/:h<54728 <1=1;<0(:o5a:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76g83;29 3c52:<0b;k?:398k15d290/:h<542d8?xd?180;694?:1y'10`=i91C:im4H442?!0b:3>=<6*67;;12>"0i3k0e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=91<7*9e3802>h1m90976a;3b83>!0b:3>8j65rb9:e>5<3290;w);:f;c3?M0ck2B>:<5+6d09036<,0=15?84$6c956=n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9j36<72-7=9;o4f4?4<3f>8o7>5$7g1>15a32wi45j50;694?6|,4H7f`?M3192.=i?4;619'=2<>:?1/;l4>3:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76g83;29 3c52:<0b;k?:398k15d290/:h<542d8?xd?>;0;684?:1y'10`=i;1C:im4H442?!0b:3>=<6*67;;12>"0i390e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=91<7*9e3802>h1m90976g84;29 3c52:<0b;k?:298k15d290/:h<542d8?xd6jj0;684?:1y'10`=i;1C:im4H442?!0b:3>=<6*67;;12>"0i3<>7d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3`=86=4+6d0973=i>l:1>65f7583>!0b:39=7c8j0;18?j24k3:1(;k=:51e?>{e;8h1<7;50;2x 03a2h80D;jl;I755>"1m;0?:=5+968:63=#?h0=96g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj1==6=4::183!32n3k97E8kc:J624=#>l818;>4$859=70<,>k1?6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj1=36=4::183!32n3k97E8kc:J624=#>l818;>4$859=70<,>k1?6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj1=26=4::183!32n3k97E8kc:J624=#>l818;>4$859=70<,>k1?6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj1=i6=4::183!32n3k97E8kc:J624=#>l818;>4$859=70<,>k1?6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj1=o6=4::183!32n3k97E8kc:J624=#>l818;>4$859=70<,>k1?6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj1=m6=4::183!32n3k97E8kc:J624=#>l818;>4$859=70<,>k1?6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj12:6=4::183!32n3k97E8kc:J624=#>l818;>4$859=70<,>k1?6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj1286=4::183!32n3k97E8kc:J624=#>l818;>4$859=70<,>k1?6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj:;h6=4::183!32n3k97E8kc:J624=#>l818;>4$859=70<,>k1:85f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921b;>4?:%4f6?513g5<#>l81?;5a6d297>=h<:i1<7*9e3877c=55;294~"2=o0j>6F9db9K137<,?o9698?;%;4><413-=j6;;4i6394?"1m;08:6`9e183?>o0:3:1(;k=:248j3c72810e:=50;&5a7<4>2d=i=4=;:k40?6=,?o96>84n7g3>6==4?:483>5}#=7:90:&:3??5>2.5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07d9<:18'2`4=;?1e:h>52:9j31<72-7=9;o4f4?5<3f>8o7>5$7g1>15a32wi??<50;794?6|,:?1/;l495:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76g83;29 3c52:<0b;k?:398m22=83.=i?4<6:l5a5<432e??n4?:%4f6?24n21vn:6<:187>5<7s-?>j7o?;I4gg>N2>81/:h<54728 <1=1;<0(:o5109j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65f7283>!0b:39=7c8j0;08?j24k3:1(;k=:51e?>{e18h1<7;50;2x 03a2h80D;jl;I755>"1m;0?:=5+968:63=#?h0>:6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj12i6=4;:183!32n3k;7E8kc:J624=#>l818;>4$859=70<,>k1=>5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921b;>4?:%4f6?513g1<7>t$47e>d6<@?nh7E;91:&5a7<3>91/5:46279'3d<6;2c<=7>5$7g1>60o0;3:1(;k=:248j3c72;10c9=l:18'2`4=<:l07pl7ac83>1<729q/98h5a19K2ae<@<<:7)8j2;654>">?339:6*8a;30?l16290/:h<5379m2`6=821b;?4?:%4f6?513g5<#>l81?;5a6d296>=h<:i1<7*9e3877c=54;294~"2=o0j<6F9db9K137<,?o9698?;%;4><413-=j6<=4i6394?"1m;08:6`9e183?>o0:3:1(;k=:248j3c72810e:=50;&5a7<4>2d=i=4=;:m77f<72-7:4;h51>5<#>l81?;5a6d295>=n?:0;6)8j2;15?k0b83807b:02>;5+7`827>o093:1(;k=:248j3c72910e:<50;&5a7<4>2d=i=4>;:k47?6=,?o96>84n7g3>7=4?:583>5}#=7:90:&:3??5>2.5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07d9<:18'2`4=;?1e:h>52:9l06e=83.=i?4;3g98yg>f93:187>50z&61c2d=i=4?;:k46?6=,?o96>84n7g3>4=91<7*9e3802>h1m90976a;3b83>!0b:3>8j65rb9;e>5<3290;w);:f;c3?M0ck2B>:<5+6d09036<,0=15?84$6c956=n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9j36<72-7=9;o4f4?4<3f>8o7>5$7g1>15a32wi5:?1/;l4l;h52>5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07d9<:18'2`4=;?1e:h>52:9j31<72-7=9;o4f4?5<3f>8o7>5$7g1>15a32wi5:?1/;l4:c:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76g83;29 3c52:<0b;k?:398m22=83.=i?4<6:l5a5<432e??n4?:%4f6?24n21vn5:m:186>5<7s-?>j7o=;I4gg>N2>81/:h<54728 <1=1;<0(:o55e9j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65f7283>!0b:39=7c8j0;08?l13290/:h<5379m2`6=;21d8>m50;&5a7<3;o10qo6:6;291?6=8r.>9k4n2:J5`f=O=?;0(;k=:543?!?0208=7)9n:4f8m27=83.=i?4<6:l5a5<732c<>7>5$7g1>60o0<3:1(;k=:248j3c72:10c9=l:18'2`4=<:l07pl75e83>0<729q/98h5a39K2ae<@<<:7)8j2;654>">?339:6*8a;7g?l16290/:h<5379m2`6=821b;?4?:%4f6?513g5<#>l81?;5a6d296>=n?=0;6)8j2;15?k0b83907b:02>;5+7`86`>o093:1(;k=:248j3c72910e:<50;&5a7<4>2d=i=4>;:k47?6=,?o96>84n7g3>7=>1<7*9e3802>h1m90876a;3b83>!0b:3>8j65rb97e>5<2290;w);:f;c1?M0ck2B>:<5+6d09036<,0=15?84$6c91a=n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9j36<72-7=9;o4f4?4<3`=?6=4+6d0973=i>l:1?65`42a94?"1m;0??k54}c:54?6==3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>0b;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098m25=83.=i?4<6:l5a5<532c<87>5$7g1>6054o51`>5<#>l818>h4;|`;24<72<0;6=u+54d9e7=O>mi0D88>;%4f6?2182.2;77=6:&4e?3c3`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?l14290/:h<5379m2`6=:21b;94?:%4f6?513gt$47e>d4<@?nh7E;91:&5a7<3>91/5:46279'3d<2l2c<=7>5$7g1>60o0;3:1(;k=:248j3c72;10e::50;&5a7<4>2d=i=4<;:m77f<72-7:6=4?{%76b?g53A4;h51>5<#>l81?;5a6d295>=n?:0;6)8j2;15?k0b83807d9;:18'2`4=;?1e:h>53:9l06e=83.=i?4;3g98yg>3m3:197>50z&61c2d=i=4?;:k46?6=,?o96>84n7g3>4=91<7*9e3802>h1m90976g84;29 3c52:<0b;k?:298k15d290/:h<542d8?xd?=<6*67;;12>"0i3?o7d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3`=86=4+6d0973=i>l:1>65f7583>!0b:39=7c8j0;18?j24k3:1(;k=:51e?>{e0<:1<7;50;2x 03a2h80D;jl;I755>"1m;0?:=5+968:63=#?h0>h6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj12>6=4::183!32n3k97E8kc:J624=#>l818;>4$859=70<,>k1?6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj12<6=4::183!32n3k97E8kc:J624=#>l818;>4$859=70<,>k1?6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj1l818;>4$859=70<,>k1?6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj1<=6=4::183!32n3k97E8kc:J624=#>l818;>4$859=70<,>k1?6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj1<36=4::183!32n3k97E8kc:J624=#>l818;>4$859=70<,>k1?6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj1l818;>4$859=70<,>k1?6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj1l818;>4$859=70<,>k1?6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj1l818;>4$859=70<,>k1?6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj1=;6=4::183!32n3k97E8kc:J624=#>l818;>4$859=70<,>k1?6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj13o6=4;:183!32n3k;7E8kc:J624=#>l818;>4$859=70<,>k1=>5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921b;>4?:%4f6?513g1<7>t$47e>d6<@?nh7E;91:&5a7<3>91/5:46279'3d<6;2c<=7>5$7g1>60o0;3:1(;k=:248j3c72;10c9=l:18'2`4=<:l07plkb;290?6=8r.>9k4n0:J5`f=O=?;0(;k=:543?!?0208=7)9n:3g8m27=83.=i?4<6:l5a5<732c<>7>5$7g1>60i3;j0;6)8j2;60b>=zjmi1<7:50;2x 03a2h:0D;jl;I755>"1m;0?:=5+968:63=#?h09i6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>605<#>l818>h4;|`;14<72<0;6=u+54d9e7=O>mi0D88>;%4f6?2182.2;77=6:&4e?053`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?l14290/:h<5379m2`6=:21b;94?:%4f6?513gt$47e>d4<@?nh7E;91:&5a7<3>91/5:46279'3d<1:2c<=7>5$7g1>60o0;3:1(;k=:248j3c72;10e::50;&5a7<4>2d=i=4<;:m77f<72-7:6=4?{%76b?g53A;1b;<4?:%4f6?513g4;h51>5<#>l81?;5a6d295>=n?:0;6)8j2;15?k0b83807d9;:18'2`4=;?1e:h>53:9l06e=83.=i?4;3g98yg>2<3:197>50z&61c2d=i=4?;:k46?6=,?o96>84n7g3>4=91<7*9e3802>h1m90976g84;29 3c52:<0b;k?:298k15d290/:h<542d8?xd?=<0;684?:1y'10`=i;1C:im4H442?!0b:3>=<6*67;;12>"0i3<97d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3`=86=4+6d0973=i>l:1>65f7583>!0b:39=7c8j0;18?j24k3:1(;k=:51e?>{e0<=1<7;50;2x 03a2h80D;jl;I755>"1m;0?:=5+968:63=#?h0=>6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj1?36=4::183!32n3k97E8kc:J624=#>l818;>4$859=70<,>k1:?5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921b;>4?:%4f6?513g5<#>l81?;5a6d297>=h<:i1<7*9e3877c=57>55;294~"2=o0j>6F9db9K137<,?o9698?;%;4><413-=j6;<4i6394?"1m;08:6`9e183?>o0:3:1(;k=:248j3c72810e:=50;&5a7<4>2d=i=4=;:k40?6=,?o96>84n7g3>6=5}#=7:90:&:3??5>2.5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07d9<:18'2`4=;?1e:h>52:9j31<72-7=9;o4f4?5<3f>8o7>5$7g1>15a32wi4:<50;794?6|,:?1/;l4<;h52>5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07d9<:18'2`4=;?1e:h>52:9j31<72-7=9;o4f4?5<3f>8o7>5$7g1>15a32wi48l50;794?6|,:?1/;l4:d:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76g83;29 3c52:<0b;k?:398m22=83.=i?4<6:l5a5<432e??n4?:%4f6?24n21vn5;l:180>5<7s-?>j77k;I4gg>N2>81/:h<54728 <1=1;<0(:o5499j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65`42a94?"1m;0??k54}c:40?6==3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>6=n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9j36<72-7=9;o4f4?4<3`=?6=4+6d0973=i>l:1?65`42a94?"1m;0??k54}cfg>5<3290;w);:f;c3?M0ck2B>:<5+6d09036<,0=15?84$6c96`=n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9j36<72-7=9;o4f4?4<3f>8o7>5$7g1>15a32wihh4?:583>5}#=7:90:&:3??5>2.5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07d9<:18'2`4=;?1e:h>52:9l06e=83.=i?4;3g98ygba290?6=4?{%76b?g73A4;h51>5<#>l81?;5a6d295>=n?:0;6)8j2;15?k0b83807b:1<729q/98h5a19K2ae<@<<:7)8j2;654>">?339:6*8a;0f?l16290/:h<5379m2`6=821b;?4?:%4f6?513g5<#>l81?;5a6d296>=h<:i1<7*9e3877c=l818;>4$859=70<,>k1>h5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921b;>4?:%4f6?513gmi0D88>;%4f6?2182.2;77=6:&4e?4b3`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?l14290/:h<5379m2`6=:21d8>m50;&5a7<3;o10qo?>8;297?6=8r.>9k46d:J5`f=O=?;0(;k=:543?!?0208=7)9n:3f8m27=83.=i?4<6:l5a5<732c<>7>5$7g1>605<#>l818>h4;|`eN1lj1C9;?4$7g1>1073-3<64<9;%5b>7b;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098k15d290/:h<542d8?xd69h0;6>4?:1y'10`=1m1C:im4H442?!0b:3>=<6*67;;12>"0i38o7d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3f>8o7>5$7g1>15a32wijl4?:283>5}#=7:90:&:3??5>2.5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07b:02>;5+7`82a>o093:1(;k=:248j3c72910e:<50;&5a7<4>2d=i=4>;:m77f<72-7:t$47e>91/5:46279'3d<6m2c<=7>5$7g1>60i3;j0;6)8j2;60b>=zj8;h6=4<:183!32n33o7E8kc:J624=#>l818;>4$859=70<,>k1>i5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921d8>m50;&5a7<3;o10qohl:180>5<7s-?>j77k;I4gg>N2>81/:h<54728 <1=1;<0(:o52e9j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65`42a94?"1m;0??k54}c32`?6=;3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>7b;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098k15d290/:h<542d8?xdal3:1?7>50z&61c<>l2B=hn5G5738 3c52=<;7)78:805?!1f2;n0e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=5}#=7:90:&:3??5>2.5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07b:6<729q/98h59e9K2ae<@<<:7)8j2;654>">?339:6*8a;0g?l16290/:h<5379m2`6=821b;?4?:%4f6?513gt$47e>91/5:46279'3d<5l2c<=7>5$7g1>60i3;j0;6)8j2;60b>=zjol1<7=50;2x 03a20n0D;jl;I755>"1m;0?:=5+968:63=#?h09h6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632e??n4?:%4f6?24n21vn<5<7s-?>j77k;I4gg>N2>81/:h<54728 <1=1;<0(:o52e9j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65`42a94?"1m;0??k54}c334?6=;3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>7b;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098k15d290/:h<542d8?xdc03:187>50z&61c2d=i=4?;:k46?6=,?o96>84n7g3>4=91<7*9e3802>h1m90976a;3b83>!0b:3>8j65rb5cg>5<4290;w);:f;;g?M0ck2B>:<5+6d09036<,0=15?84$6c90==n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9l06e=83.=i?4;3g98yg00=3:197>50z&61c2d=i=4?;:k46?6=,?o96>84n7g3>4=91<7*9e3802>h1m90976g84;29 3c52:<0b;k?:298k15d290/:h<542d8?xd2>00;684?:1y'10`=i;1C:im4H442?!0b:3>=<6*67;;12>"0i3:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3`=86=4+6d0973=i>l:1>65f7583>!0b:39=7c8j0;18?j24k3:1(;k=:51e?>{e=?21<7;50;2x 03a2h80D;jl;I755>"1m;0?:=5+968:63=#?h0=86g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj<l818;>4$859=70<,>k1?6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj?=26=4::183!32n3k97E8kc:J624=#>l818;>4$859=70<,>k1>l5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921b;>4?:%4f6?513g5<#>l81?;5a6d297>=h<:i1<7*9e3877c=7>54;294~"2=o0j<6F9db9K137<,?o9698?;%;4><413-=j6?k4i6394?"1m;08:6`9e183?>o0:3:1(;k=:248j3c72810e:=50;&5a7<4>2d=i=4=;:m77f<72-7:6=4?{%76b?g53A4;h51>5<#>l81?;5a6d295>=n?:0;6)8j2;15?k0b83807d9;:18'2`4=;?1e:h>53:9l06e=83.=i?4;3g98yg1?m3:197>50z&61c2d=i=4?;:k46?6=,?o96>84n7g3>4=91<7*9e3802>h1m90976g84;29 3c52:<0b;k?:298k15d290/:h<542d8?xd0?80;694?:1y'10`=i91C:im4H442?!0b:3>=<6*67;;12>"0i3;m7d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3`=86=4+6d0973=i>l:1>65`42a94?"1m;0??k54}c3e3?6=<3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>7c;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098m25=83.=i?4<6:l5a5<532e??n4?:%4f6?24n21vn5<7s-?>j7o=;I4gg>N2>81/:h<54728 <1=1;<0(:o52g9j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65f7283>!0b:39=7c8j0;08?l13290/:h<5379m2`6=;21d8>m50;&5a7<3;o10qo97b;291?6=8r.>9k4n2:J5`f=O=?;0(;k=:543?!?0208=7)9n:0a8m27=83.=i?4<6:l5a5<732c<>7>5$7g1>60o0<3:1(;k=:248j3c72:10c9=l:18'2`4=<:l07pl86183>1<729q/98h5a19K2ae<@<<:7)8j2;654>">?339:6*8a;3e?l16290/:h<5379m2`6=821b;?4?:%4f6?513g5<#>l81?;5a6d296>=h<:i1<7*9e3877c=54;294~"2=o0j<6F9db9K137<,?o9698?;%;4><413-=j6?64i6394?"1m;08:6`9e183?>o0:3:1(;k=:248j3c72810e:=50;&5a7<4>2d=i=4=;:m77f<72-7:6=4?{%76b?g53A5$7g1>60o0;3:1(;k=:248j3c72;10e::50;&5a7<4>2d=i=4<;:m77f<72-7:6=4?{%76b?g53A4;h51>5<#>l81?;5a6d295>=n?:0;6)8j2;15?k0b83807d9;:18'2`4=;?1e:h>53:9l06e=83.=i?4;3g98yg1f13:197>50z&61c2d=i=4?;:k46?6=,?o96>84n7g3>4=91<7*9e3802>h1m90976g84;29 3c52:<0b;k?:298k15d290/:h<542d8?xd0i10;684?:1y'10`=i;1C:im4H442?!0b:3>=<6*67;;12>"0i39>7d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3`=86=4+6d0973=i>l:1>65f7583>!0b:39=7c8j0;18?j24k3:1(;k=:51e?>{e?hk1<7;50;2x 03a2h80D;jl;I755>"1m;0?:=5+968:63=#?h0896g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj88:6=4<:183!32n33o7E8kc:J624=#>l818;>4$859=70<,>k1>i5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921d8>m50;&5a7<3;o10qo??1;297?6=8r.>9k46d:J5`f=O=?;0(;k=:543?!?0208=7)9n:3f8m27=83.=i?4<6:l5a5<732c<>7>5$7g1>605<#>l818>h4;|`267<72:0;6=u+54d9=a=O>mi0D88>;%4f6?2182.2;77=6:&4e?4c3`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?j24k3:1(;k=:51e?>{e9981<7=50;2x 03a20n0D;jl;I755>"1m;0?:=5+968:63=#?h09h6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632e??n4?:%4f6?24n21vn5<7s-?>j77k;I4gg>N2>81/:h<54728 <1=1;<0(:o52e9j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65`42a94?"1m;0??k54}cd:>5<4290;w);:f;;g?M0ck2B>:<5+6d09036<,0=15?84$6c96a=n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9l06e=83.=i?4;3g98yg1?03:197>50z&61c2d=i=4?;:k46?6=,?o96>84n7g3>4=91<7*9e3802>h1m90976g84;29 3c52:<0b;k?:298k15d290/:h<542d8?xd6m80;6>4?:1y'10`=1m1C:im4H442?!0b:3>=<6*67;;12>"0i3;n7d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3f>8o7>5$7g1>15a32wi>>;50;194?6|,:?1/;l4>e:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76a;3b83>!0b:3>8j65rb315>5<3290;w);:f;c3?M0ck2B>:<5+6d09036<,0=15?84$6c965=n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9j36<72-7=9;o4f4?4<3f>8o7>5$7g1>15a32wi>>950;794?6|,:?1/;l4=2:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76g83;29 3c52:<0b;k?:398m22=83.=i?4<6:l5a5<432e??n4?:%4f6?24n21vn?=6:187>5<7s-?>j7o?;I4gg>N2>81/:h<54728 <1=1;<0(:o52d9j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65f7283>!0b:39=7c8j0;08?j24k3:1(;k=:51e?>{e9o<1<7=50;2x 03a20n0D;jl;I755>"1m;0?:=5+968:63=#?h0:i6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632e??n4?:%4f6?24n21vn?:n:180>5<7s-?>j77k;I4gg>N2>81/:h<54728 <1=1;<0(:o51d9j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65`42a94?"1m;0??k54}c07f?6=<3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>76;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098m25=83.=i?4<6:l5a5<532e??n4?:%4f6?24n21vn?:l:186>5<7s-?>j7o=;I4gg>N2>81/:h<54728 <1=1;<0(:o5239j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65f7283>!0b:39=7c8j0;08?l13290/:h<5379m2`6=;21d8>m50;&5a7<3;o10qo<;e;290?6=8r.>9k4n0:J5`f=O=?;0(;k=:543?!?0208=7)9n:3g8m27=83.=i?4<6:l5a5<732c<>7>5$7g1>60i3;j0;6)8j2;60b>=zj?>j6=4::183!32n3k97E8kc:J624=#>l818;>4$859=70<,>k1=i5f7083>!0b:39=7c8j0;28?l15290/:h<5379m2`6=921b;>4?:%4f6?513g5<#>l81?;5a6d297>=h<:i1<7*9e3877c=53;294~"2=o02o6F9db9K137<,?o9698?;%;4><413-=j6>>4i6394?"1m;08:6`9e183?>i3;j0;6)8j2;60b>=n?;0;6)8j2;15?k0b83;0b8;j:198yg5el3:1?7>50z&61c<>k2B=hn5G5738 3c52=<;7)78:805?!1f2::0e:?50;&5a7<4>2d=i=4?;:m77f<72-7:7=9;o4f4?73:1N1lj1C9;?4$6c9`>"1m;0>j6F;4d9'=2<>:?1b?h4?:%4f6?2432c?<7>5$7g1>16<3`>36=4+6d0933=h6=4+6d0906`<3`>?n7>5$7g1>1?732c?97>5$7g1>10632wi;n;50;494?6|,0`<@=>n7)78:805?l5b290/:h<54298m16=83.=i?4;0:9j0=<72-799;:m70f<72-7:50z&61c0e:?50;&5a7<4>2d=i=4?;:k46?6=,?o96>84n7g3>4=91<7*9e3802>h1m90976g84;29 3c52:<0b;k?:298k15d290/:h<542d8?xd2><0;6?4?:1y'10`=;h1C:im4H442?!0b:3>97)78:805?l17290/:h<57798k15d290/:h<542d8?xd3<3:1>7<53z&61c<4j2B=hn5G5738 <1=1;<0e:>50;9l06e=831i;84?:383>5}#=2c<<7>5$7g1>20<3f>8o7>5$7g1>15a32wi?l4?:383>5}#=02>;5f7183>!0b:3==76a;3b83>!0b:3>8j65rs6294?4|V>:01:;5719~w15d2909wS:30<3;j16?l480:~f63e29096=4?{%76b?1e3A799;:m77f<72-7:h7>52;294~"2=o074$859=70:1<7*9e3842>=h<:i1<7*9e3877c=O>l;07pl<5g83>7<729q/98h57c9K2ae<@<<:7)8j2;1:?!?0208=7d9?:18'2`4=??10c9=l:18'2`4=<:l0D;k>;:a737=8381<7>t$47e>2d<@?nh7E;91:&5a7<412.2;77=6:k44?6=,?o96:84;n60g?6=,?o969=i;I4f5>=zj:<86=4=:183!32n3=i7E8kc:J624=#>l81?45+968:63=n?90;6)8j2;55?>i3;j0;6)8j2;60b>N1m810qo=95;296?6=8r.>9k48b:J5`f=O=?;0(;k=:2;8 <1=1;<0e:>50;&5a7<0>21d8>m50;&5a7<3;o1C:h?4;|`022<72;0;6=u+54d93g=O>mi0D88>;%4f6?5>3-3<64<9;h53>5<#>l81;;54o51`>5<#>l818>h4H7g2?>{e;<31<7<50;2x 03a2>h0D;jl;I755>"1m;0856*67;;12>o083:1(;k=:648?j24k3:1(;k=:51e?M0b921vn<:m:181>5<7s-?>j79m;I4gg>N2>81/:h<5389'=2<>:?1b;=4?:%4f6?1132e??n4?:%4f6?24n2B=i<54}c37`?6=:3:1N1lj1C9;?4$7g1>6?<,0=15?84i6294?"1m;0<:65`42a94?"1m;0??k5G6d38?xd6>h0;6?4?:1y'10`=?k1C:im4H442?!0b:3927)78:805?l17290/:h<57798k15d290/:h<542d8L3c632wi=;m50;094?6|,2c<<7>5$7g1>20<3f>8o7>5$7g1>15a3A5<5290;w);:f;5a?M0ck2B>:<5+6d097<=#1>02>;5f7183>!0b:3==76a;3b83>!0b:3>8j6F9e098yg5?:3:1>7>50z&61c<0j2B=hn5G5738 3c52:30(4959348m26=83.=i?486:9l06e=83.=i?4;3g9K2`7<3th8494?:383>5}#=7=6;%;4><413`=;6=4+6d0933=">?339:6g80;29 3c52><07b:?29096=4?{%76b?1e3A799;:m77f<72-7:52;294~"2=o074$859=70:1<7*9e3842>=h<:i1<7*9e3877c=O>l;07pl<8b83>7<729q/98h57c9K2ae<@<<:7)8j2;1:?!?0208=7d9?:18'2`4=??10c9=l:18'2`4=<:l0D;k>;:a72c=8381<7>t$47e>2d<@?nh7E;91:&5a7<412.2;77=6:k44?6=,?o96:84;n60g?6=,?o969=i;I4f5>=zj:k>6=4=:183!32n3=i7E8kc:J624=#>l81?45+968:63=n?90;6)8j2;55?>i3;j0;6)8j2;60b>N1m810qo=n7;296?6=8r.>9k48b:J5`f=O=?;0(;k=:2;8 <1=1;<0e:>50;&5a7<0>21d8>m50;&5a7<3;o1C:h?4;|`2<1<72;0;6=u+54d93g=O>mi0D88>;%4f6?5>3-3<64<9;h53>5<#>l81;;54o51`>5<#>l818>h4H7g2?>{e91<1<7<50;2x 03a2>h0D;jl;I755>"1m;0856*67;;12>o083:1(;k=:648?j24k3:1(;k=:51e?M0b921vn>m;:181>5<7s-?>j79m;I4gg>N2>81/:h<5389'=2<>:?1b;=4?:%4f6?1132e??n4?:%4f6?24n2B=i<54}c1`2?6=:3:1N1lj1C9;?4$7g1>6?<,0=15?84i6294?"1m;0<:65`42a94?"1m;0??k5G6d38?xd61m0;6?4?:1y'10`=?k1C:im4H442?!0b:3927)78:805?l17290/:h<57798k15d290/:h<542d8L3c632wi=4h50;094?6|,2c<<7>5$7g1>20<3f>8o7>5$7g1>15a3A5<5290;w);:f;5a?M0ck2B>:<5+6d097<=#1>02>;5f7183>!0b:3==76a;3b83>!0b:3>8j6F9e098yg4e?3:1>7>50z&61c<0j2B=hn5G5738 3c52:30(4959348m26=83.=i?486:9l06e=83.=i?4;3g9K2`7<3th3o84?:383>5}#=7=6;%;4><413`=;6=4+6d0933=">?339:6g80;29 3c52><07b:29096=4?{%76b?1e3A799;:m77f<72-7:52;294~"2=o074$859=70:1<7*9e3842>=h<:i1<7*9e3877c=O>l;07pl:a383>7<729q/98h57c9K2ae<@<<:7)8j2;1:?!?0208=7d9?:18'2`4=??10c9=l:18'2`4=<:l0D;k>;:a1=3=8381<7>t$47e>2d<@?nh7E;91:&5a7<412.2;77=6:k44?6=,?o96:84;n60g?6=,?o969=i;I4f5>=zjl81?45+968:63=n?90;6)8j2;55?>i3;j0;6)8j2;60b>N1m810qo;mf;296?6=8r.>9k48b:J5`f=O=?;0(;k=:2;8 <1=1;<0e:>50;&5a7<0>21d8>m50;&5a7<3;o1C:h?4;|`565<72;0;6=u+54d93g=O>mi0D88>;%4f6?5>3-3<64<9;h53>5<#>l81;;54o51`>5<#>l818>h4H7g2?>{e>8o1<7<50;2x 03a2>h0D;jl;I755>"1m;0856*67;;12>o083:1(;k=:648?j24k3:1(;k=:51e?M0b921vn;?l:181>5<7s-?>j79m;I4gg>N2>81/:h<5389'=2<>:?1b;=4?:%4f6?1132e??n4?:%4f6?24n2B=i<54}c42e?6=:3:1N1lj1C9;?4$7g1>6?<,0=15?84i6294?"1m;0<:65`42a94?"1m;0??k5G6d38?xd1910;6?4?:1y'10`=?k1C:im4H442?!0b:3927)78:805?l17290/:h<57798k15d290/:h<542d8L3c632wi:<850;094?6|,2c<<7>5$7g1>20<3f>8o7>5$7g1>15a3A5<5290;w);:f;5a?M0ck2B>:<5+6d097<=#1>02>;5f7183>!0b:3==76a;3b83>!0b:3>8j6F9e098yg3an3:1>7>50z&61c<0j2B=hn5G5738 3c52:30(4959348m26=83.=i?486:9l06e=83.=i?4;3g9K2`7<3th>ji4?:383>5}#=7=6;%;4><413`=;6=4+6d0933=">?339:6g80;29 3c52><07b:29096=4?{%76b?1e3A799;:m77f<72-7:52;294~"2=o074$859=70:1<7*9e3842>=h<:i1<7*9e3877c=O>l;07pl:f483>7<729q/98h57c9K2ae<@<<:7)8j2;1:?!?0208=7d9?:18'2`4=??10c9=l:18'2`4=<:l0D;k>;:a0ac=8381<7>t$47e>2d<@?nh7E;91:&5a7<412.2;77=6:k44?6=,?o96:84;n60g?6=,?o969=i;I4f5>=zjl81?45+968:63=n?90;6)8j2;55?>i3;j0;6)8j2;60b>N1m810qo?<1;296?6=8r.>9k48b:J5`f=O=?;0(;k=:2;8 <1=1;<0e:>50;&5a7<0>21d8>m50;&5a7<3;o1C:h?4;|`275<72;0;6=u+54d93g=O>mi0D88>;%4f6?5>3-3<64<9;h53>5<#>l81;;54o51`>5<#>l818>h4H7g2?>{e9;l1<7<50;2x 03a2>h0D;jl;I755>"1m;0856*67;;12>o083:1(;k=:648?j24k3:1(;k=:51e?M0b921vn<5<7s-?>j79m;I4gg>N2>81/:h<5389'=2<>:?1b;=4?:%4f6?1132e??n4?:%4f6?24n2B=i<54}c:eg?6=:3:1N1lj1C9;?4$7g1>6?<,0=15?84i6294?"1m;0<:65`42a94?"1m;0??k5G6d38?xd0kj0;6?4?:1y'10`=?k1C:im4H442?!0b:3927)78:805?l17290/:h<57798k15d290/:h<542d8L3c632wi;:;50;094?6|,2c<<7>5$7g1>20<3f>8o7>5$7g1>15a3A5<5290;w);:f;5a?M0ck2B>:<5+6d097<=#1>02>;5f7183>!0b:3==76a;3b83>!0b:3>8j6F9e098ygdf29096=4?{%76b?1e3A799;:m77f<72-7:l81?45+968:63=n?90;6)8j2;55?>i3;j0;6)8j2;60b>N1m810qo<71;296?6=8r.>9k48b:J5`f=O=?;0(;k=:2;8 <1=1;<0e:>50;&5a7<0>21d8>m50;&5a7<3;o1C:h?4;|`1f<<72;0;6=u+54d93g=O>mi0D88>;%4f6?5>3-3<64<9;h53>5<#>l81;;54o51`>5<#>l818>h4H7g2?>{e:j31<7<50;2x 03a2>h0D;jl;I755>"1m;0856*67;;12>o083:1(;k=:648?j24k3:1(;k=:51e?M0b921vn?h;:181>5<7s-?>j79m;I4gg>N2>81/:h<5389'=2<>:?1b;=4?:%4f6?1132e??n4?:%4f6?24n2B=i<54}c0e7?6=:3:1N1lj1C9;?4$7g1>6?<,0=15?84i6294?"1m;0<:65`42a94?"1m;0??k5G6d38?xd49:0;6?4?:1y'10`=?k1C:im4H442?!0b:3927)78:805?l17290/:h<57798k15d290/:h<542d8L3c632wi;::50;094?6|,2c<<7>5$7g1>20<3f>8o7>5$7g1>15a3A5<5290;w);:f;5a?M0ck2B>:<5+6d097<=#1>02>;5f7183>!0b:3==76a;3b83>!0b:3>8j6F9e098yg1e83:187>50z&61c797;:k7<`<72-7:7f:9l06e=83.=i?4;3g98yg1e93:197>50z&61c4;h51>5<#>l81?;5a6d295>=n?:0;6)8j2;15?k0b83807d9;:18'2`4=;?1e:h>53:9l06e=83.=i?4;3g98yg1e:3:187>50z&61c2d=i=4?;:k46?6=,?o96>84n7g3>4=91<7*9e3802>h1m90976a;3b83>!0b:3>8j65rb7f1>5<2290;w);:f;c1?M0ck2B>:<5+6d09036<,0=15?84$6c91>o093:1(;k=:248j3c72910e:<50;&5a7<4>2d=i=4>;:k47?6=,?o96>84n7g3>7=>1<7*9e3802>h1m90876a;3b83>!0b:3>8j65rb7f0>5<3290;w);:f;ca?M0ck2B>:<5+6d09e<=#1>02>;5f7083>!0b:3=<76g82;29 3c52>207d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb765>5<2290;w);:f;c1?M0ck2B>:<5+6d09036<,0=15?84$6c90>o093:1(;k=:248j3c72910e:<50;&5a7<4>2d=i=4>;:k47?6=,?o96>84n7g3>7=>1<7*9e3802>h1m90876a;3b83>!0b:3>8j65rb764>5<3290;w);:f;ca?M0ck2B>:<5+6d09e<=#1>02>;5f7083>!0b:3=<76g82;29 3c52>207d:7e;29 3c52=2m76a;3b83>!0b:3>8j65rb75e>5<2290;w);:f;c1?M0ck2B>:<5+6d09036<,0=15?84$6c926=n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9j36<72-7=9;o4f4?4<3`=?6=4+6d0973=i>l:1?65`42a94?"1m;0??k54}c4;4?6=<3:1N1lj1C9;?4$7g1>d?<,0=15?84i6394?"1m;0<;65f7383>!0b:3=376g;8d83>!0b:3>3j65`42a94?"1m;0??k54}c744?6=<3:1N1lj1C9;?4$7g1>1073-3<64<9;%5b>d=n?80;6)8j2;15?k0b83:07d9=:18'2`4=;?1e:h>51:9j36<72-7=9;o4f4?4<3f>8o7>5$7g1>15a32wi9:?50;794?6|,:?1/;l4>5:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76g83;29 3c52:<0b;k?:398m22=83.=i?4<6:l5a5<432e??n4?:%4f6?24n21vn89=:187>5<7s-?>j7om;I4gg>N2>81/:h<5a89'=2<>:?1b;<4?:%4f6?1032c<>7>5$7g1>2><3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn:ol:187>5<7s-?>j7o?;I4gg>N2>81/:h<54728 <1=1;<0(:o5169j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65f7283>!0b:39=7c8j0;08?j24k3:1(;k=:51e?>{e?hn1<7=50;2x 03a20n0D;jl;I755>"1m;0?:=5+968:63=#?h09;6g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632e??n4?:%4f6?24n21vn:oj:187>5<7s-?>j7om;I4gg>N2>81/:h<5a89'=2<>:?1b;<4?:%4f6?1032c<>7>5$7g1>2><3`>3i7>5$7g1>1>a32e??n4?:%4f6?24n21vn:7i:186>5<7s-?>j7o=;I4gg>N2>81/:h<54728 <1=1;<0(:o51e9j34<72-7=9;o4f4?6<3`=96=4+6d0973=i>l:1=65f7283>!0b:39=7c8j0;08?l13290/:h<5379m2`6=;21d8>m50;&5a7<3;o10qo9n0;291?6=8r.>9k4n2:J5`f=O=?;0(;k=:543?!?0208=7)9n:238m27=83.=i?4<6:l5a5<732c<>7>5$7g1>60o0<3:1(;k=:248j3c72:10c9=l:18'2`4=<:l07pl8a083>1<729q/98h5ac9K2ae<@<<:7)8j2;c:?!?0208=7d9>:18'2`4=?>10e:<50;&5a7<0021b85k50;&5a7<30o10c9=l:18'2`4=<:l07pl7b183>7<729q/:h<5389'10`=1h1C9;?4i6294?"1m;0<:65`42a94?"1m;0??k54}c:bb?6=;3:1<413-?>j77i;%5b>1b;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098k15d290/:h<542d8?xd?j=0;6?4?:1y'2`4=;01/98h59`9K137:1<7*9e3842>=h<:i1<7*9e3877c=53;294~"1m;0?:=5G5738L3bd3-3<64<9;%76b??b3-=j69j4i6394?"1m;08:6`9e183?>o0:3:1(;k=:248j3c72810c9=l:18'2`4=<:l07pl82983>7<729q/:h<5389'10`=1h1C9;?4i6294?"1m;0<:65`42a94?"1m;0??k54}c513?6=<3:1<413-?>j7o>;%5b>47;1<7*9e3802>h1m90;76g82;29 3c52:<0b;k?:098m25=83.=i?4<6:l5a5<532e??n4?:%4f6?24n21vn4?;:181>5<7s-7=6;%76b??f3A?==6g80;29 3c52><07b:5+7`851>o093:1(;k=:248j3c72910e:<50;&5a7<4>2d=i=4>;:k47?6=,?o96>84n7g3>7=>1<7*9e3802>h1m90876a;3b83>!0b:3>8j65rb83e>5<5290;w)8j2;1:?!32n33j7E;91:k44?6=,?o96:84;n60g?6=,?o969=i;:a=4c=83?1<7>t$7g1>1073A?==6F9db9'=2<>:?1/98h5a59'3d<1=2c<=7>5$7g1>60o0;3:1(;k=:248j3c72;10e::50;&5a7<4>2d=i=4<;:m77f<72-7:3-?>j77n;I755>o083:1(;k=:648?j24k3:1(;k=:51e?>{e1;;1<7;50;2x 3c52=<;7E;91:J5`f=#1>02>;5+54d9e6=#?h0956g81;29 3c52:<0b;k?:198m24=83.=i?4<6:l5a5<632c5$7g1>60i3;j0;6)8j2;60b>=zj0;:6=4=:183!0b:3927);:f;;b?M3192c<<7>5$7g1>20<3f>8o7>5$7g1>15a32wi5<>50;694?6|,?o9698?;I755>N1lj1/5:46279'10`=i81/;l4:b:k45?6=,?o96>84n7g3>5=81<7*9e3802>h1m90:76g83;29 3c52:<0b;k?:398k15d290/:h<542d8?xd>900;6?4?:1y'2`4=;01/98h59`9K137:1<7*9e3842>=h<:i1<7*9e3877c=54;294~"1m;0?:=5G5738L3bd3-3<64<9;%76b?g63-=j6?64i6394?"1m;08:6`9e183?>o0:3:1(;k=:248j3c72810e:=50;&5a7<4>2d=i=4=;:m77f<72-7:229096=4?{%4f6?5>3-?>j77n;I755>o083:1(;k=:648?j24k3:1(;k=:51e?>{e?1>1<7;50;2x 3c52=<;7E;91:J5`f=#1>02>;5+54d9e1=#?h0<7d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3`=86=4+6d0973=i>l:1>65f7583>!0b:39=7c8j0;18?j24k3:1(;k=:51e?>{e?9;1<7<50;2x 3c52:30(8;i:8c8L0063`=;6=4+6d0933=5}#>l818;>4H442?M0ck2.2;77=6:&61c<>n2.5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07b:;h53>5<#>l81;;54o51`>5<#>l818>h4;|`450<72:0;6=u+6d09036<@<<:7E8kc:&:3??5>2.>9k46f:&4e?2?3`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?j24k3:1(;k=:51e?>{e?831<7<50;2x 3c52:30(8;i:8c8L0063`=;6=4+6d0933=5}#>l818;>4H442?M0ck2.2;77=6:&61c<>n2.5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07b:;h53>5<#>l81;;54o51`>5<#>l818>h4;|`45g<72:0;6=u+6d09036<@<<:7E8kc:&:3??5>2.>9k46f:&4e?2?3`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?j24k3:1(;k=:51e?>{e?8l1<7<50;2x 3c52:30(8;i:8c8L0063`=;6=4+6d0933=5}#>l818;>4H442?M0ck2.2;77=6:&61c<>n2.5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07b:;h53>5<#>l81;;54o51`>5<#>l818>h4;|`464<72:0;6=u+6d09036<@<<:7E8kc:&:3??5>2.>9k46f:&4e?2?3`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?j24k3:1(;k=:51e?>{e?;?1<7<50;2x 3c52:30(8;i:8c8L0063`=;6=4+6d0933=94?:283>5}#>l818;>4H442?M0ck2.2;77=6:&61c<>n2.5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07b:;h53>5<#>l81;;54o51`>5<#>l818>h4;|`446<72:0;6=u+6d09036<@<<:7E8kc:&:3??5>2.>9k46f:&4e?2?3`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?j24k3:1(;k=:51e?>{e?9=1<7<50;2x 3c52:30(8;i:8c8L0063`=;6=4+6d0933=5}#>l818;>4H442?M0ck2.2;77=6:&61c5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07d9<:18'2`4=;?1e:h>52:9j31<72-7=9;o4f4?5<3f>8o7>5$7g1>15a32wi;=o50;094?6|,?o96>74$47e>0<729q/:h<54728L0063A"2=o0j86*8a;43?l16290/:h<5379m2`6=821b;?4?:%4f6?513g5<#>l81?;5a6d296>=n?=0;6)8j2;15?k0b83907b:;h53>5<#>l81;;54o51`>5<#>l818>h4;|`44f<72<0;6=u+6d09036<@<<:7E8kc:&:3??5>2.>9k4n4:&4e?073`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?l14290/:h<5379m2`6=:21b;94?:%4f6?513gt$7g1>6?<,;I4gg>">?339:6*:5g8b0>"0i3<;7d9>:18'2`4=;?1e:h>50:9j37<72-7=9;o4f4?7<3`=86=4+6d0973=i>l:1>65f7583>!0b:39=7c8j0;18?j24k3:1(;k=:51e?>{e0k<1<7<50;2x 3c52:30(8;i:8c8L0063`=;6=4+6d0933=5}#>l818;>4H442?M0ck2.2;77=6:&61c<>m2.5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07b:;h53>5<#>l81;;54o51`>5<#>l818>h4;|`457<72:0;6=u+6d09036<@<<:7E8kc:&:3??5>2.>9k46f:&4e?2?3`=:6=4+6d0973=i>l:1<65f7383>!0b:39=7c8j0;38?j24k3:1(;k=:51e?>{e1;>1<7<50;2x 3c52:30(8;i:8c8L0063`=;6=4+6d0933=>4?:483>5}#>l818;>4H442?M0ck2.2;77=6:&61c5<#>l81?;5a6d294>=n?;0;6)8j2;15?k0b83;07d9<:18'2`4=;?1e:h>52:9j31<72-7=9;o4f4?5<3f>8o7>5$7g1>15a32wi;5<50;094?6|,?o96>74$47e>0<729q/:h<54728L0063A"2=o0j?6*8a;37?l16290/:h<5379m2`6=821b;?4?:%4f6?513g5<#>l81?;5a6d296>=n?=0;6)8j2;15?k0b83907b:4<729q/:h<54578L0063A=27)9i:648 5<7s-7:;5:J624=O?01/;k4;3g9'=<==i<0819=5`45794?"1m;0?8854}c:6>5<6290;w)8j2;671>N2>81C;45+7g877c=#100j;6a;4483>!0b:3>?965rb9494?7=83:p(;k=:566?M3192B<56*8f;60b>">13k=7b:;5;29 3c52=>>76sm8683>4<729q/:h<54578L0063A=27)9i:51e?!?>2=>?7b:;5;29 3c52=>>76sm8983>4<729q/:h<54578L0063A=27)9i:51e?!?>2=>87b:;5;29 3c52=>>76sm8883>4<729q/:h<54578L0063A=27)9i:51e?!?>2=8:7b:;5;29 3c52=>>76sm8`83>4<729q/:h<54578L0063A=27)9i:51e?!?>2=;h7b:;5;29 3c52=>>76sm8c83>4<729q/:h<54578L0063A=27)9i:51e?!?>2=>97b:;5;29 3c52=>>76sm8e83>4<729q/:h<54578L0063A=27)9i:51e?!?>2?20c9:::18'2`4=<=?07pl;4883>4<729q/:h<54578L0063A=27)9i:51e?!?>2:20c9:::18'2`4=<=?07pl;4g83>4<729q/:h<54578L0063A=27)9i:51e?!?>2?n0(:k5a49m0f2>7;?;n671?6=,?o969::;:a006=83;1<7>t$7g1>1223A?==6F89:&4b?24n2.2576?;%5f>d3i3<<0;6)8j2;671>=zj=?:6=4>:183!0b:3>?96F:609K3<=#?o0??k5+98860>"0m3k>7c:l:99m0<4==91d89;50;&5a7<3<<10qo::2;295?6=8r.=i?4;449K137<@>30(:h542d8 01/;h4n5:l7g?>4o566>5<#>l8189;4;|`716<7280;6=u+6d09013<@<<:7E96;%5e>15a3-326;o4$6g9e0=i{e<<>1<7?50;2x 3c52=>>7E;91:J4=>"0n3>8j6*69;1e?!1b2h?0b9m58:l7=7<282e?884?:%4f6?23=21vn9;::182>5<7s-7:;5:J624=O?01/;k4;3g9'=<<312.==i<0819=5`45794?"1m;0?8854}c663?6=93:15+7d8b1>h3k320b97=:428k122290/:h<54578?xd1l>0;6<4?:1y'2`4=<=?0D88>;I5:?!1a2=9m7)76:908 2c=i<1e8n47;o6:6?373f>?97>5$7g1>12232wx8>4?:2y]06=:<=0<<63;3;671>{t<=0;696t=5d;>6c<5=l26>k4=427>6c<5<:j6>k4=42a>6c<5<:h6>k4=42g>6c<5<:n6>k4=42e>6c<5<;;6>k4=5db>6c<5=li6>k4=5d`>6c<5=lo6>k4=5df>6c<5=lm6>k4=423>6c<5<::6>k4=421>6c<5<:86>k4=426>6c<5<:=6>k4=424>6c<5<:36>k4=42:>6c<5k4=76;>6c<5<k4=440>6c<5?8m6>k4=767>6c<5??>6>k4=775>6c<5??<6>k4=77;>6c<5??26>k4=77b>6c<5??i6>k4=77`>6c<5??o6>k4=77f>6c<5?2h6>k4=7:g>6c<5?2n6>k4=7:e>6c<5?3;6>k4=7;2>6c<5?396>k4=7;0>6c<5?3?6>k4=7;6>6c<5?i<6>k4=7a;>6c<5?i26>k4=7ab>6c<5?ii6>k4=7a`>6c<5?io6>k4=7af>6c<5?im6>k4=7f3>6c<5?h86>k4=7`7>6c<5?h>6>k4=7`5>6c<5?h<6>k4=7`;>6c<5?h26>k4=7`b>6c<5?hi6>k4=7``>6c<5=>18>m4}r4f>5<4sW>7p}75;297~X?=273i7:?2908wS67;<;2>15d342369::;|q;=?6=;rT356362;60g>;?13>?96s|8`83>6}Y0h165>4;3b9>18>m4=9`901318l5215490d=:9=218l5214;904=:9?l18<5214c90d=:9818l5236790d=:9hk18<521cf904=:9k918l521c690d=:9k?18l521c490d=:9k=18l521c:90d=:9k318l521cc90d=:9kh18l521``90d=:9hi18l521`f90d=:9ho18l521`d90d=:9k:18l521c390d=:9k818l5230690d=:;8<18l52ab875>;d:3>:70mk:5389`5=<816?4954`9>75=>=<816=:754`9>52e=52`=54`9>5=7=7g0=5<7=<816=l?5409>5<4=5<1=55oo54`9>6ge=oh54`9>6`6=h854`9>6`4=h;54`9>6`>=lk54`9>6g6=o<54`9>62?=:o54`9>62d=75e=5a4=5ce=0<3=<8168lk5409>0d6=0d0=0d>=0dg=0de=0<1=0000<`=0d4=0d2=0fd=1`?=1c7=25c=1f6=<8169lk54`9>1g6=1g2=1g>=1ge=1ac=<8169nm54`9>1fc=54`9>1a4=1a0=1ag=1=0=<8169::54`9>120=12g=12c=54`9>1=4=5409>1d5=<81694?54`9>1<5=1<1=11<`=4=80935=:180<<6360;53?8>a2>:015k5719>ef<3i27ji7:n;1g<5?n86:?4=764>2415d34kn69?4=b6904=z{hn1<7=t=`f906e<5hi185k4=b09=3=z{ho1<7=t=`g906e<5k:18<52c4875>{tio0;6>u2ag877f=:il0?4h52c58:2>{tj90;6>u2b1877f=:j80?=63l6;62?xue93:1?v3m1;60g>;e:3>:70m8:538yvd52908w0l=:51`?8d42=;01n65409~wg5=839p1o=542a89g2=<816o44;1:pf1<72:q6n94;3b9>f0<3927hm7:>;|qa1?6=;r7i97:5<4s4h=69=l;<`4>17<5ji18<5rsc594?4|5k=18>m4=b1904=z{k21<7m4=c390=c<5j<15;5rsca94?5|5ki18>m4=c090=c<5j=15;5rscf94?5|5kn18>m4=c190=c<5j215;5rscg94?5|5ko18>m4=c690=c<5j315;5rscd94?5|5kl18>m4=c790=c<5jk15;5rsb294?5|5j:18>m4=c490=c<5jh15;5rsb394?5|5j;18>m4=c590=c<5ji15;5rsbf94?5|5jn18>m4=bd904=:m<0?=6s|cd83>6}:kl0??n52ce87<`=:m:02:6s|cg83>6}:ko0??n52d1875>;b>3>:7p}k0;297~;c83>8o63k1;62?8c02=;0q~j>:1808b62=9h70j=:5389`>=<81vi<50;1x9a4=<:i01i=5409>a<<392wxh>4?:2y>`6<3;j16h94;1:?fe?263tyo87>53z?g0?24k27o97:>;176=4<{15d34n=69?4=da904=z{m<1<7=t=e4906e<5m=18<52ee875>{tl>0;6?u2d6877f=:m=0?=6s|d983>7}:m=02:63k8;60g>{tl00;6>u2cg87<`=:m<02:63ka;60g>{tlk0;6>u2d187<`=:m?02:63kb;60g>{tlj0;6>u2d087<`=:m>02:63kc;60g>{tlm0;6>u2d387<`=:m102:63kd;60g>{tll0;6>u2d287<`=:m002:63ke;60g>{tlo0;6>u2d587<`=:mh02:63kf;60g>{tm90;6>u2d487<`=:mk02:63j0;60g>{tm80;6>u2d787<`=:mj02:63j1;60g>{tm;0;6>u2d687<`=:mm02:63j2;60g>{tml0;6>u2ed877f=:mo0?=63>04875>{tmo0;6>u2eg877f=:n90?=63>07875>{tn90;6>u2f1877f=:n80?=63>06875>{tn80;6>u2f0877f=:n;0?=63>09875>{tn;0;6>u2f3877f=:n:0?=63>08875>{tn:0;6>u2f2877f=:n=0?=63>0`875>{tn=0;6>u2f5877f=:n<0?=63>0c875>{tn<0;6>u2f4877f=:n?0?=63>0b875>{tn?0;6>u2f7877f=:n>0?=63>0e875>{tn>0;6?u2f6877f=:99>18<5rsg:94?5|5lo185k4=020><0<5o218>m4}rd:>5<5s4;;8779;15d3tymm7>53z?fb?2?m27:<8466:?ee?24k2wxjo4?:2y>b5<30l16==85979>bg<3;j1vkm50;1x9c7=<1o01<>8:8489ce=<:i0q~hk:1808`52=2n70??8;;5?8`c2=9h7p}ie;297~;a;3>3i63>088:2>;am3>8o6s|fg83>6}:n=0?4h5211c9=3=:no0??n5rs023>5<4s4l>696j;<33f??134;;<7:>:51`?xu68;0;6>u2f687<`=:99n15;52110906e53z?24`<3;j16==h5409>573=<81v<>i:180877n3>8o63>11875>;6:?0?=6s|10294?5|58;;69=l;<325?2634;9;7:>;|q254<72:q6=13877f=:98918<5213;904=z{8;86=4<{<327?24k27:=94;1:?26d<392wx=<:50;1x94732=9h70?>5;62?875j3>:7p}>1483>6}:98?18>m4=035>17<588h69?4}r322?6=;r7:=;4;3b9>541=<816=?j5409~w4702909w0?>7;60g>;6:=0?=6s|10:94?5|58:n696j;<317??134;:47:2=9h7p}>1`83>6}:99l185k4=006><0<58;j69=l;|q25g<72:q6=<>549g8944120<01u210390=c<588<6484=03`>15d3ty:=i4?:2y>544=<1o01<<7:848947c2=9h7p}>1d83>6}:989185k4=00:><0<58;n69=l;|q25c<72:q6=<:549g8944f20<01u210790=c<588i6484=003>15d3ty:><4?:2y>540=<1o01<2383>6}:98=185k4=00g><0<588969=l;|q277<72:q6=>=542a894?>2=2n70?n7;;5?xu6;=0;6>u2127906e<583j696j;<3b561=<:i01<6?:5:f?87?m33=7p}>3983>6}:9:318>m4=0:2>1>b34;3j779;|q27d<72:q6=>o542a8945e2=;01<;>:538yv74j3:1?v3>3c877f=:9:n18<52140904=z{89h6=4<{<30g?24k27:?o4;8d9>507=1?1v<=k:180874l3>8o63>3d875>;6=:0?=6s|12g94?5|589n69=l;<374?2634;>87:>;|q27c<72:q6=>h542a8945b2=2n70?:3;;5?xu6<90;6>u2152906e<58>969?4=076>1753z?204<3;j16=9>549g8943320<0q~?;2;297~;6<;0??n52156904=:9<<18<5rs060>5<4s4;??7:;656875>{t9=?1<7=t=066>15d34;?87:7e:?213<>>2wx=9850;1x94212=9h70?;8;62?87203>:7p}>4683>6}:9==18>m4=065>1>b34;>;779;|q20=<72;q6=96542a8942b2=;0q~?;9;297~;6<00??n5215:90=c<58?36484}r37e?6=;r7:?l4;8d9>506=1?16=9l542a8yv73k3:1?v3>3e87<`=:9<815;5215f906e52z?20c<3;j16=9k5979~w43>2908w0?:9;60g>;6=h0?=63>71875>{t915d34;>o7:>;<345?263ty:9o4?:2y>50d=<:i01<;n:5:f?870833=7p}>5b83>6}:9m4=07g>17<58=969?4}r36`?6=;r7:9i4;3b9>50`=<816=:=5409~w43b2908w0?:e;60g>;6=m0?4h521609=3=z{8?m6=4<{<36b?24k27::<4;1:?231<392wx=;>50;1x94072=9h70?:f;6;a>;6?:02:6s|17394?5|58<:69=l;<357?2634;<97:>;|q227<72:q6=;<542a894062=2n70?84;;5?xu6>:0;6>u2171906e<58<>69?4=055>1753z?221<3;j16=;=549g8941220<0q~?95;297~;6><0??n52175904=:9>=18<5rs045>5<4s4;=:7:;6>m0?=6s|17:94?5|58<369=l;<353?2?m27:;:466:p53?=839p1<;6:5:f?871n33=70?9a;60g>{t9?h1<7=t=07`>1>b34;<=779;<35g?24k2wx=;k50;0x940b2=9h70?9d;;5?xu6?10;6>u216:906e<58=269?4=0::>1753z?23<<3;j16=:l5409>5=g=<81v<9n:180870i3>8o63>7887<`=:91315;5rs05a>5<4s4;:70?7c;62?xu6?m0;6>u216f906e<58=h696j;<3;f??13ty:;h4?:2y>52c=<:i01<9i:53894>c2=;0q~?8f;297~;6?o0??n52192904=:91o18<5rs0:3>5<4s4;3<7::51`?87?:3>:70?60;62?xu60;0;6?u2190906e<582<69?4}r3;7?6=;r7:;54;8d9>5=>=1?16=5:542a8yv7?=3:1?v3>7c87<`=:91k15;52194906e53z?2=4<3;j16=4<5409>5d4=<81v<7=:18087>:3>8o63>95875>;6i:0?=6s|18194?5|583869=l;<3:6?2?m27:m?466:p5<2=839p1<7;:51`?87>=3>:70?n4;62?xu61<0;6>u2187906e<583<69?4=0c6>1753z?2=3<3;j16=4;549g894g320<0q~?67;297~;61>0??n5218:904=:9h<18<5rs0;;>5<4s4;247:i3>:70?n8;62?xu61h0;6>u218c906e<583i69?4=0c:>1752z?2=g<3;j16=l>5409~w4?d2908w0?61;6;a>;6i802:63>9e877f=z{83n6=4<{<3:0?2?m27:m>466:?2=c<3;j1v8o63>b2875>;6k>0?=6s|1``94?5|58ki69=l;<3bg?2634;ij7:>;|q2ef<72:q6=lm542a894gc2=;01ae877f=:9ho18<521b3904=z{8kn6=4<{<3ba?24k27:mk4;1:?2g7<392wx=lh50;1x94ga2=9h70?m0;62?87d;3>:7p}>b183>6}:9k:18>m4=0`2>17<58i?69?4}r3a5?6=;r7:n<4;3b9>5g4=<816=n;5409~w4d52909w0?m2;60g>;6k?0?=6s|1c194?5|58h869=l;<3a0?2634;h47:>;|q2f1<72:q6=o:542a894d22=;01b4877f=:9k<18<521bc904=z{8h=6=4<{<3a2?24k27:n:4;1:?2gg<392wx=o950;1x94d02=9h70?m8;62?87dk3>:7p}>b983>6}:9k218>m4=0`:>17<58io69?4}r3a=?6=;r7:n44;3b9>5gg=<816=nk5409~w4df2908w0?ma;60g>;6jk0?=63>cg875>{t9kh1<7=t=0`a>15d34;jn7:>;<3aa?263ty:nn4?:2y>5dg=<1o01d083>7}:9m;18>m4=0f0>1752z?2`6<3;j16=i:5409~w4b32909w0?k4;60g>;6l<0?=6s|1e794?4|58n>69=l;<3g2?263ty:h;4?:3y>5a0=<:i01v3>d6877f=:9m218<5rs0f;>5<5s4;o47:2=9h70?ka;62?xu6lh0;6?u21ec906e<58ni69?4}r3gf?6=:r7:ho4;3b9>5a4=<81v8o63>d087<`=z{8no6=4={<3g`?24k27:h>4;8d9~w4bb2909w0?ke;60g>;6l=0?4h5rs0fe>5<5s4;oj7:8o63>d787<`=z{8o:6=4={<3g3?2?m27:i<4;3b9~w4c52909w0?k8;6;a>;6m;0??n5rs0g0>5<5s4;o57:7e:?2a6<3;j1v8o63>d`87<`=z{8o>6=4={<3f1?24k27:ho4;8d9~w4c12909w0?j6;60g>;6m10?=6s|1d:94?4|58o369=l;<3f=?263ty:i44?:3y>5`?=<:i01v3>e`877f=:9lh18<5rs0ga>5<5s4;nn7:5``=<81v8o63>f1875>{t9o:1<715d34;n;7:>;|q2b4<72;q6=k?542a894c12=2n7p}>f383>7}:9o818>m4=0g;>1>b3ty:j>4?:3y>5c5=<:i01f783>7}:9li185k4=0d5>15d3ty:j:4?:3y>5`b=<1o01f`83>7}:9ok18>m4=0d3>1>b3ty:jo4?:3y>5cd=<:i01v3>fe877f=:9oo18<5rs0df>5<5s4;mi7:654=<81v?>=:181847:3>8o63=02875>{t:991<715d348;87:>;|q141<72;q6>=:542a897622=;0q~;6nm0?4h5rs32;>5<5s48;47:6:18184713>8o63>fg87<`=z{;:j6=4={<03e?24k279<=4;8d9~w76e2909w0;5880?4h5rs32`>5<5s48;o7:k:181847l3>8o63=0287<`=z{;:n6=4={<03a?24k279<94;8d9~w76a2909w0;58<0?4h5rs333>5<5s48:<7:<<50;0x97752=9h70<>3;62?xu59:0;6?u2201906e<5;;?69?4}r020?6=:r79=94;3b9>643=<81v??::181846=3>8o63=17875>{t:8<1<715d348:;7:>;|q152<72;q6><9542a8977?2=;0q~<>8;296~;5910??n5220;904=z{;;26=4={<02=?24k279=l4;1:p64g=838p1??n:51`?84693>:7p}=1c83>7}::8h18>m4=333>1>b3ty9=n4?:3y>64e=<:i01??=:5:f?xu59m0;6?u220f906e<5;;8696j;|q15`<72;q6>7}::8l18>m4=336>1>b3ty9>=4?:3y>676=<:i01??9:5:f?xu5:80;6?u2233906e<5;;<696j;|q167<72;q6>?<542a8977?2=2n7p}=2283>7}::;918>m4=33:>1>b3ty9>94?:3y>672=<:i01??n:5:f?xu5:<0;6?u2237906e<5;8<69?4}r013?6=:r79>:4;3b9>67>=<81v?<7:18184503>8o63=28875>{t:;31<715d3489m7:>;|q16d<72;q6>?o542a8974e2=;0q~<=b;296~;5:k0??n5223a904=z{;8h6=4={<01g?24k279>i4;1:p67b=838p1?:7p}=2d83>7}::;o18>m4=30e>1752z?16c<3;j16>?85409~w7572909w0<<0;60g>;5:<0?4h5rs312>5<5s488=7:8o63=2987<`=z{;986=4={<007?24k279>44;8d9~w7532909w0<<4;60g>;5:h0?4h5rs316>5<5s489n7:7e:?170<3;j1v?=9:181845k3>3i63=37877f=z{;9<6=4={<01`?2?m279?:4;3b9~w75?2909w0<<8;60g>;5:l0?4h5rs31:>5<5s489j7:7e:?17<<3;j1v?=n:181844i3>8o63=3b875>{t::i1<715d3488h7:>;|q17a<72;q6>>j542a8975b2=;0q~<:7p}=4083>7}::=;18>m4=361>177>52z?107<3;j16>9=5409~w7242909w0<;3;60g>;5<=0?=6s|25694?4|5;>?69=l;<00f?263ty9884?:3y>613=<:i01?=n:5:f?xu599542a8975c2=2n7p}=4983>7}::=218>m4=31f>1>b3ty9844?:3y>61?=<:i01?=i:5:f?xu5j69=l;|q10g<72;q6>9?549g8972e2=9h7p}=4b83>7}::=8185k4=36`>15d3ty98i4?:3y>61b=<:i01?:<:5:f?xu5n69=l;|q10c<72;q6>9h542a897362=;0q~<:1;296~;5=80??n52240904=z{;?96=4={<066?24k2799>4;1:p605=838p1?;<:51`?842<3>:7p}=5583>7}::<>18>m4=376>1797>52z?110<3;j16>885409~w7312909w0<:6;60g>;5=>0?=6s|24594?4|5;?<69=l;<0660>=<:i01?;6:538yv4213:1>v3=58877f=::<:18<5rs37b>5<5s48>m7:8o63=5087<`=z{;?h6=4={<06g?24k2799?4;8d9~w73c2909w0<:d;60g>;5=:0?4h5rs37f>5<5s48>i7:8o63=5487<`=z{;<;6=4={<054?24k2799;4;8d9~w7062909w0<91;60g>;5=>0?4h5rs341>5<5s48=>7:8o63=5887<`=z{;:7p}=6683>7}::?=18>m4=34;>1752z?12=<3;j16>;75409~w70>2909w0<99;60g>;5>h0?=6s|27c94?4|5;63d=<:i01?8l:538yv41k3:1>v3=6b877f=::?n18<5rs34g>5<5s48=h7:;k50;0x970b2=9h70<95;62?xu5>o0;6?u227d906e<5;:>542a897012=2n7p}=7083>7}::>;18>m4=344>1>b3ty9;?4?:3y>624=<:i01?87:5:f?xu5?:0;6?u2261906e<5;<2696j;|q131<72;q6>::542a8970f2=2n7p}=7483>7}::>?18>m4=34a>1>b3ty9;;4?:3y>620=<:i01?8l:5:f?xu5?>0;6?u2265906e<5;:6542a8970b2=2n7p}=7883>7}::>318>m4=35b>1752z?13d<3;j16>:l5409~w71e2909w0<8b;60g>;5?j0?=6s|26f94?4|5;=o69=l;<04=?2?m2wx>:k50;0x971b2=9h70<8a;6;a>{t:>l1<715d3488o6s|29094?4|5;2969=l;<0;0?263ty9494?:3y>6=2=<:i01?6::538yv4?=3:1>v3=84877f=::1<18<5rs3:5>5<5s483:7:5950;0x97>02=9h70<78;62?xu5010;6?u229:906e<5;2269?4}r0;=?6=:r79444;3b9>6=g=<81v?6n:18184?i3>8o63=8c875>{t:1h1<715d3483o7:>;|q15m542a897>42=;0q~<7d;296~;50m0??n5229090=c52z?1<`<3;j16>5=549g8yv4?n3:1>v3=8g877f=::1>185k4}r0:4?6=:r795=4;3b9>6=3=<1o0q~<61;296~;5180??n5229490=c7>52z?1=7<3;j16>59549g8yv4>;3:1>v3=92877f=::12185k4}r0:0?6=:r79594;3b9>6=?=<1o0q~<65;296~;51<0??n5229c90=c52z?1=3<3;j16>5l549g8yv4>?3:1>v3=96877f=::1i185k4}r0:6i3>8o63=9c875>{t:0h1<715d3482o7:>;|q1=f<72;q6>4m542a897?c2=;0q~<6d;296~;51m0??n5228g904=z{;3n6=4={<0:a?24k2795k4;1:p6<`=838p1?7i:51`?84f83>:7p}=a183>7}::h:18>m4=3c2>1752z?1e4<3;j16>l<5409~w7g52909w0;5100?=6s|2`194?4|5;k869=l;<0:l:50;0x97g32=9h70<69;6;a>{t:h?1<715d3482m7:7e:p6d0=838p1?o9:51`?84>j3>3i6s|2`594?4|5;k<69=l;<0:g?2?m2wx>l650;0x97g?2=9h70<6d;6;a>{t:h31<715d3482i7:7e:p6dg=838p1?on:51`?84>n3>3i6s|2``94?4|5;ki69=l;<0b4?2?m2wx>lm50;0x97gd2=9h70{t:hn1<715d348j>7:7e:p6dc=838p1?oj:51`?84fn3>:7p}=ag83>7}::hl18>m4=3`3>1752z?1f5<3;j16>o?5409~w7d62909w0;5j;0?=6s|2c194?4|5;h869=l;<0ba?2?m2wx>o:50;0x97d32=9h70{t:k?1<715d348i<7:7e:p6g0=838p1?l>:5:f?84e?3>8o6s|2c:94?4|5;h9696j;<0a=?24k2wx>oo50;0x97df2=9h706gb=<81v?lk:18184el3>8o63=bg875>{t:ko1<715d348ih7:7e:p6g`=838p1?li:51`?84d83>:7p}=c183>7}::j:18>m4=3a1>1752z?1g4<3;j16>n>549g8yv4d;3:1>v3=b`87<`=::j>18>m4}r0`1?6=:r79o84;3b9>6gd=<1o0q~52z?1g2<3;j16>oh549g8yv4d03:1>v3=c387<`=::j318>m4}r0`e?6=:r79ol4;3b9>6fe=<81v?ml:18184dk3>8o63=ce875>{t:jn1<715d348hi7:>;|q1g`<72;q6>nk542a897ea2=;0q~:51`?84c:3>:7p}=d383>7}::m818>m4=3f0>1752z?1`6<3;j16>i:5409~w7b32909w0;5kk0?=6s|2e794?4|5;n>69=l;<0`e?2?m2wx>i850;0x97b12=9h70{t:m=1<715d348ho7:7e:p6a>=838p1?j7:51`?84dl3>3i6s|2e;94?4|5;n269=l;<0`a?2?m2wx>io50;0x97bf2=9h70{t:mh1<715d348o<7:7e:p6ae=838p1?jl:51`?84c93>3i6s|2ef94?4|5;no69=l;<0g6?2?m2wx>ik50;0x97bb2=9h70{t:ml1<715d348o87:7e:p6`6=838p1?k?:51`?84b;3>:7p}=e083>7}::l818>m4=3g6>1752z?1a6<3;j16>h85409~w7c32909w0;5m10?=6s|2d494?4|5;o=69=l;<0f=?263ty9i:4?:3y>6`>=<:i01?kn:538yv4bj3:1>v3=ec877f=::l:185k4}r0fg?6=:r79in4;3b9>6`4=<1o0q~52z?1a`<3;j16>h;549g8yv4bn3:1>v3=eg877f=::l<185k4}r0e4?6=:r79j=4;3b9>6`>=<1o0q~7>52z?1ad<30l16>k=542a8yv4a=3:1>v3=f4877f=::o=18<5rs3d4>5<5s48m;7:k650;0x97`?2=9h706cd=<81v?hm:18184aj3>8o63=fb875>{t:oi1<715d348mh7:>;|q1ba<72;q6>kj542a897`b2=;0q~>?:51`?84a=3>3i6s|31394?4|5:::69=l;<0e2?2?m2wx?=<50;0x96652=9h70{t;991<715d348m47:7e:p752=838p1>>;:51`?84a13>3i6s|31794?4|5::>69=l;<0ee?2?m2wx?=850;0x96612=9h70{t;9=1<715d348mo7:7e:p75>=838p1>>7:51`?84al3>3i6s|31;94?4|5::269=l;<0ea?2?m2wx?=o50;0x966f2=9h70{t;9h1<715d349;o7:>;|q04f<72;q6?=m542a8966c2=;0q~=?d;296~;48m0??n5231g904=z{::m6=4={<13b?24k2780;60g>;48j0?4h5rs232>5<5s49:=7:?=:181857m3>3i63<12877f=z{:;?6=4={<120?24k278=84;1:p743=838p1>?::51`?856>3>:7p}<1783>7}:;8<18>m4=234>1752z?052<3;j16?<65409~w67?2909w0=>8;60g>;4900?=6s|30;94?4|5:;269=l;<12e?263ty8=l4?:04x967f2=9h707?c;51?8>>j3=870669;50?8>>?3=870665;50?8>>;3=870661;50?8?6>3=?7067f;51?8>?l3=97067b;51?8>fl3=9706nb;51?8>f13=9706n7;51?8>f=3=9706n3;51?8>f93=97066f;51?8>>l3=970679;51?xu49k0;6?u230790=c<5:;i69=l;|q05f<72;q6?<9549g8967d2=9h7p}<1d83>7}:;82185k4=23f>15d3ty8>=4?:3y>74?=<1o01>:;:538yv55m3:1?v3<2d877f=:;;l18<52357904=z{:8m6=4<{<11b?24k278?=4;1:?003<392wx?>>50;1x96572=9h70=<1;62?853?3>:7p}<3083>6}:;:;18>m4=211>17<5:>369?4}r106?6=;r78??4;3b9>765=<816?975409~w6542908w0=<3;60g>;4;=0?=63<4`875>{t;:>1<7=t=217>15d349897:>;<17f?263ty8?84?:2y>763=<:i01>=9:538962d2=;0q~=<6;296~;4;?0??n52351904=z{:9<6=4<{<103?24k278>i4;8d9>714=1?1v>=7:18185403>8o63<428:2>{t;:31<7=t=21:>15d3499i7:7e:?001<>>2wx?>o50;1x965f2=9h70==f;6;a>;4<<02:6s|32`94?5|5:9i69=l;<104?2?m2788;466:p76e=839p1>=l:51`?85493>3i63<468:2>{t;:n1<7=t=21g>15d3498>7:7e:?00=<>>2wx?>k50;1x965b2=9h70=<3;6;a>;4<002:6s|32d94?5|5:9m69=l;<100?2?m2788l466:p716=839p1>:?:51`?854=3>3i63<4c8:2>{t;=;1<7=t=262>15d3498:7:7e:?00f<>>2wx?9j50;1x962c2=9h70=;f;62?851i3>:7p}<4d83>6}:;=o18>m4=26g>1>b349=5779;|q00c<72:q6?9h542a896372=;01>8m:538yv5283:1?v3<51877f=:;<818<5237a904=z{:?:6=4<{<165?24k2789=4;8d9>73d=1?1v>;=:180852:3>8o63<52875>;4>m0?=6s|34194?5|5:?869=l;<160?26349=i7:>;|q011<72:q6?8:542a896322=;01>8i:538yv52=3:1?v3<54877f=:;<<18<52362904=z{:?=6=4<{<162?24k2789:4;1:?034<392wx?8950;0x96302=9h70=98;62?xu4=10;6?u237:9=3=:;<318>m4}r16e?6=;r788k4;8d9>73g=1?16?8l542a8yv52k3:1?v3<5387<`=:;?i15;5234f906ei7>53z?016<30l16?;j5979>70`=<:i0q~=90;297~;4==0?4h5237g9=3=:;?;18>m4}r156?6=;r78984;8d9>73`=1?16?;=542a8yv51<3:1?v3<5787<`=:;>:15;52377906e53z?012<30l16?:?5979>731=<:i0q~=82;297~;4?;0??n52366904=:;1l18<5rs250>5<4s49;4?<0?=63<91875>{t;>?1<7=t=256>15d349<;7:>;<1:5?263ty8;;4?:2y>720=<:i01>9::5:f?85>833=7p}<7683>6}:;>=18>m4=25;>17<5:3969?4}r1472?=<816?4=5409~w61>2908w0=89;60g>;4?h0?=63<95875>{t;>k1<7=t=25b>15d349;<1:1?263ty8;o4?:2y>72d=<:i01>9l:53896?12=;0q~=8c;296~;4?j0??n5239f904=z{:=o6=4={<1;`??13499;:5:f?85?n33=70=70;60g>{t;1;1<7=t=254>1>b3492=779;<1;6?24k2wx?5=50;1x961?2=2n70=62;;5?85?<3>8o6s|39794?5|5:=2696j;<1:7??13493:7:9n:5:f?85><33=70=78;60g>{t;131<7=t=25a>1>b34929779;<1;e?24k2wx?5l50;1x961d2=2n70=66;;5?85?k3>8o6s|38594?5|5:3<69=l;<1:=?26349ji7:>;|q0==<72:q6?46542a896?02=2n70=nd;;5?xu4100;6>u238;906e<5:3j69?4=2ce>1753z?0=d<3;j16?4m5409>7g6=<81v>7m:18085>j3>8o63<9`87<`=:;hl15;5rs2;`>5<4s492o7:7k:51`?85>n3>:70=m2;62?xu41l0;6>u238g906e<5:3o696j;<1a5??13ty85k4?:2y>7<`=<:i01>o?:53896d42=;0q~=n0;297~;4i90??n523`3904=:;k>18<5rs2c2>5<4s49j=7:o=:51`?85fk3>:7p}7}:;hi15;523`1906e53z?0=<<30l16?lk5979>7d3=<:i0q~=n6;297~;41j0?4h523c29=3=:;h=18>m4}r1b7g4=1?16?l6542a8yv5f13:1?v353z?0e4<30l16?o:5979>7dg=<:i0q~=nb;297~;4i;0?4h523c79=3=:;hh18>m4}r1a2?6=;r78n;4;3b9>7g>=<816?nj5409~w6d02908w0=m7;60g>;4j?0?4h523ba9=3=z{:h36=4<{<1a2=9h70=mb;62?85dn3>:7p}6}:;kk18>m4=2`:>1>b349hi779;|q0fg<72:q6?ol542a896dd2=;01>j?:538yv5ek3:1?v37a6=1?1v>lj:18085em3>8o63;4l;0?=6s|3cd94?5|5:hm69=l;<1`4?26349o?7:>;|q0g5<72:q6?n>542a896e62=;01>j;:538yv5d93:1>v35<5s49hn779;<1`6?24k2wx?n=50;1x96d?2=2n70=ld;;5?85d<3>8o6s|3b794?5|5:hi696j;<1`b??1349h:7:lj:5:f?85c933=70=l7;60g>{t;j21<7=t=2`e>1>b349o>779;<1`8o6s|3bc94?5|5:i:696j;<1g0??1349hm7:j::51`?85c>3>:70=jc;62?xu4l?0;6>u23e4906e<5:n<69?4=2gg>1753z?0`2<3;j16?i65409>7`c=<81v>j7:18085c03>8o63;4mo0?=6s|3e;94?5|5:n269=l;<1ge?26349m<7:>;|q0`d<72:q6?io542a896be2=;01>h>:538yv5cj3:1?v3:7p}7}:;mo18>m4=2ga>1753z?0`c<3;j16?i;549g896cf20<0q~=j0;296~;4m90??n523d`9=3=z{:o:6=4<{<1f5?24k278h;4;8d9>7`e=1?1v>k=:18085b:3>8o635<4s49n?7:;4l00?4h523dd9=3=z{:o>6=4<{<1f1?24k278hl4;8d9>7c6=1?1v>k9:18085b>3>8o635<4s49n;7:;4lm0?4h523g19=3=z{:o26=4<{<1f=?24k278hh4;8d9>7c2=1?1v>h::1840~;1ll0?8=5235f904=:;?318<5235d90d=:;<818l5234190d=:;<>18l5234790d=:;<<18l5234590d=:9:k18l5212f90d=:9<318l5214a90d=:;>818<5239g904=:;>>18l5236590d=:;>218l5236;90d=:;>k18l5236`90d=:;>i18l5233f904=:;=818<523e7904=:;lk18<521`c90d=:;8>18<5230790d=:;8=18l5230:90d=:;8318l5230c90d=:;0=18<523`f904=:;0318l5238a90d=:;0n18l5238d90d=:;h:18l523`390d=:;h818l5216:90d=:9>h18l523c4904=:;ji18<523c:90d=:;kh18l523ca90d=:;ko18l523cd90d=:;j:18l523b390d=:90;18l5218690d=::kk18<522c`90d=::kn18l522b290d=::l:18<522d190d=::l818<522`g904=::hl18l522c390d=::>318<5231`904=::1818<522bc904=::o?18<5228:904=:9m;18<52237904=::;<18l521d4904=:::k18<5222`90d=:9oh18<5225d904=::<:18l52202904=::?>18<5227790d=:<0?18l524b5904=:=21855257c90==:=?91855265690==:=l318<52612904=:=lh18l525da90d=:=ll18l525g290d=:=o918l5261;904=:>;;18<5261`90d=:>9i18l5261f90d=:>8:18l5260390d=:>8818l525`f90d=:=jh18l5256190d=:=0:18l52692937=z{:l=6=4={<:67?24k273:9481:p7c1=838p15;;:51`?8>1>3=:7p}7}:0m4=94;>2752z?;12<3;j164;o5709~w6`f2909w06:8;60g>;?>j0<=6s|3g`94?4|51?269=l;<:5a?163ty8jn4?:3y><0g=<:i0159?:638yv5al3:1mv3753847>;?=:0;?=<0;?=10;?=h05<4s49957:0:3=:7p};0183>7}:0;=7>52z?;1f<3;j164::5759~w1652909w0;99;60g>;2>10<86s|41194?5|5:8h69=l;<11f?13349jn79;;|q741<72;q69;k5759>124=<:i0q~:?5;296~;0i>0??n527`4931=z{=:=6=4={<5:`?1334=j=7:7}:?hk1;?527`g906e;57>52z?4f4<3;j16;o>5709~w16f2909w09m2;60g>;0j90<>6s|41`94?4|51h96:=4=9`7>15d3ty?=41=?8165<:542a8yv27l3:1>v388`847>;>:;0??n5rs52f>5<5s42>=79<;<:a2?24k2wx8=h50;0x93`42>>014<;:51`?xu3990;6>u2337906e<5:i96::4=6:a>22:=7>52z?5ac<0;27<4?4;3b9~w1752908w0==8;60g>;4:>0<863{t<891<715d34=;:79>;|q751<72;q6?2>;0q~:>5;296~;0io0??n5278a934=z{=;=6=4={<115?24k27<i:6689<7>2=9h7p};1983>7}:;;918>m4=62e>27:57>54z?:5g<3;j16;:k5739>3=6=?;16;5?5739~w17f2908w06;b;60g>;?>;0<=63>bb845>{t<8h1<7=t=975>15d349:n79>;<:42?163ty?=n4?:3y>13b=<:i0188l:668yv26l3:1?v375e877f=:0>21;<5286;934=z{=;n6=4={<:6a?24k273;o481:p04`=838p15;i:51`?8>0l3=:7p};2183>7}:0?:18>m4=95e>279=7>53z?:5g<0:272=>4;3b9>=42=?91v9<=:1818>193>8o63780845>{t<;91<715d3423?79>;|q761<72;q649j542a8967d2>;0q~:=5;296~;?=481:p071=838p1;9n:51`?80003=?7p};2983>7}:0<:18>m4=201>27957>52z?4<6<3;j165b;50?81?=3>8o6s|43`94?4|50;o6:?4=83`>15d3ty?>n4?:3y><1d=?816;=?542a8yv25l3:1>v3757845>;09?0??n5rs50f>5<5s42>h79>;<52=?24k2wx8?h50;0x9=3b2>;01:?l:51`?xu3;90;6?u28`g931=:0k:18>m4}r605?6=:r739k481:?45c<3;j1v9==:1818>183=:709=2;60g>{t<:91<727<5>8>69=l;|q771<72;q649m5709>352=<:i0q~:<5;296~;?7}:0<:1;<52702906e857>52z?;14<3;j1645;5709~w15f2909w06:2;60g>;?0>0<=6s|45;94?5|V=>270:;a;60g>;3<00?885rs56e>5<4sW>?j63;59877f=:<=l189;4}r664?6=;rT?9=5244;906e<5=?;69::;|q714<72:qU88?4=57b>15d34>>=7:;5:p004=839pR9;=;<66f?24k27?9?4;449~w1342908wS::3:?71f<3;j1688=54578yv22<3:1?vP;559>00b=<:i019;;:566?xu3=<0;6>uQ4478913b2=9h70::5;671>{t<<=1<7=t^574?822n3>8o63;568700=z{=<96=4={<50=?2?34i969=l;|q726<72;q6;9h5499>a6<3;j1v98;:18181em3>370??3;60g>{t1><588869=l;|q723<72;q6;>l5499>g6<3;j1v988:18181293>370k;:51`?xu3>10;6?u27b290==:99>18>m4}r65=?6=:r73j=4;8:?261<3;j1v98n:181814i3>370m;:51`?xu3>k0;6?u274290==:m<0??n5rs54`>5<5s4=ij7:7;<331?24k2wx8;j50;0x9=ca2=201<<::51`?xu3>l0;6?u272a90==:k<0??n5rs54e>5<5s4=>>7:7;15d3ty?;=4?:3y>3f7=<116==8542a8yv2093:1>v37f087<>;6:?0??n5rs551>5<5s4=8h7:7;15d3ty?;>4?:3y>305=<116i:4;3b9~w1132909w09l2;6;?877?3>8o6s|46794?4|51l96964=004>15d3ty?;;4?:3y>36c=<116o:4;3b9~w1102909w09:4;6;?8c?2=9h7p};7983>7}:?j91855211:906e<57>52z?;b6<3027:>54;3b9~w11f2909w097}:?a=3>37p};7d83>7}:?=:18552c8877f=z{==m6=4={<562?2?34oj69=l;|q7<5<72;q6;n85499>55g=<:i0q~:71;296~;?n?0?463>2`877f=z{=296=4={<575?2?34ij69=l;|q7<6<72;q6;895499>ag<3;j1v96;:18181d?3>370??b;60g>{t<1?1<71><588i69=l;|q7<3<72;q6;9<5499>gg<3;j1v968:18181203>370kl:51`?xu3010;6?u27b:90==:99i18>m4}r6;=?6=:r73j54;8:?26f<3;j1v96n:181813;3>370ml:51`?xu30k0;6?u274;90==:mm0??n5rs5:`>5<5s4=h57:7;<33`?24k2wx85j50;0x9=`>2=201<u2487906e<5=k;69?4=5`:>172:7>53z?7=3<3;j168495409>0g6=<81v978:18082>?3>8o63;99875>;3j80?=6s|48:94?5|5=3369=l;<6:=?2634>i>7:>;|q7=<<72:q6847542a891?f2=;019l<:538yv2>i3:1?v3;9`877f=:<0h18<524c6904=z{=3i6=4<{<6:f?24k27?5n4;1:?7f0<392wx84m50;1x91?d2=9h70:6d;62?82e>3>:7p};9e83>6}:<0n18>m4=5;f>17<5=h<69?4}r6:a?6=;r7?5h4;3b9>0<`=<8168o65409~w1?a2908w0:6f;60g>;3i80?=63;b`875>{t15d34>j97:>;<6ab?263ty?m<4?:2y>0d7=<:i019o=:53891de2=;0q~:n2;297~;3i;0??n524`1904=:5<4s4>j?7::7p};a483>6}:m4=5c5>17<5=i;69?4}r6b2?6=;r7?m;4;3b9>0d1=<8168n?5409~w1g02908w0:n7;60g>;3i10?=63;c3875>{t15d34>j57:>;<6`7?263ty?m44?:2y>0d?=<:i019on:53891e32=;0q~:na;297~;3ih0??n524``904=:5<4s4>jn7:>3>:70:nf;62?xu3im0;6>u248790=c<5=kn6484=5cg>15d3ty?o:4?:3y>0f1=<:i019mn:538yv2d03:1>v3;c9877f=:0fd=<81v9mm:18182dj3>8o63;ce875>{t15d34>hn7:7e:p0fb=838p19mk:51`?82dm3>:7p};cd83>7}:m4=5ae>17hj7>52z?7gc<3;j168i>5409~w1b72909w0:k0;60g>;3l80?=6s|4e394?4|5=n:69=l;<6g6?263ty?h?4?:3y>0a4=<:i019j<:538yv2c;3:1>v3;d2877f=:5<5s4>o87:8o63;c`87<`=z{=n=6=4={<6g2?24k27?oi4;8d9~w1b02909w0:k7;60g>;3kl0?4h5rs5f;>5<5s4>o47:8o63;d187<`=z{=nj6=4={<6ge?24k27?h<4;8d9~w1be2909w0:kb;60g>;3l;0?4h5rs5f`>5<5s4>oo7:15e=<=n018>k:56g?837m3>?h63:0g870a=:=8:189j4=5db>12c34>mn7:;d:?7bf<3o70:if;67`>;2890?8i52513901b<5<:969:k;<737?23l27><84;4e9>150=<=n018>8:56g?83703>?h63:08870a=:=15d3ty?hk4?:3y>0c>=<=i019ok:638yv2bi3:1?v3;f8870f=:=:l1;<524b:934=z{=o;6=4<{<6ee?23k27?h:482:?66g<092wx8h?50;6x91`e2=>h70:k8;52?82dn3>j70;=d;52?xu3m;0;69u24ga901e<5=n26:?4=5f3>1g<5<8m6:?4}r6f7?6=;r7?ji4;4b9>0ag=?8169>?5709~w1c32908w0:ie;67g>;3lh0<>63:32845>{t12d34>on79>;<701?163ty?i;4?:2y>156=<=i019jm:60890502>;0q~:j7;297~;2880?8n524e`936=:=:31;<5rs5g;>5<4s4?;>7:;c:?7`g<0<27>?o481:p0`?=839p18><:56`?82ck3=:70;u2516901e<5=n>6:?4=46a>27nn7>53z?640<3117=?81v9kl:180837>3>?o63;db847>;2<:0<=6s|4df94?5|5<:<69:l;<6gg?1334??979>;|q7a`<72:q69=6545a891b32>;018:8:638yv2bn3:1?v3:08870f=:1;?5255;934=z{=l:6=4<{<73e?23k27?h8482:?60a<092wx8k<50;1x906e2=>h70;;f;52?82dk3=:7p};f283>6}:=9i189m4=5f5>27<50a0=?;1698=5709~w1`22908w0;?e;67g>;3l?0{t12d34>o:79;;<763?163ty?j:4?:2y>146=<=i019j8:638903>2>;0q~;>1;296~;3n10?463;ad877f=z{<;96=4={<6ee?2?34>jj7:7}:52z?7ba<3027?n?4;3b9~w0712909w0:ie;6;?82e;3>8o6s|50594?4|5=lm6964=5`7>15d3ty>=54?:3y>156=<1168o;542a8yv3613:1>v3:0087<>;3j?0??n5rs43b>5<5s4?;>7:7;<6a3?24k2wx9m4}r72`?6=:r7><84;8:?7fd<3;j1v8?j:181837>3>370:mb;60g>{t=8l1<71><5=hh69=l;|q665<72;q69=65499>0gb=<:i0q~;=1;296~;2800?463;bd877f=z{<896=4={<730?2?34>ij7:n:5:891e72=9h7p}:2583>7}:=9h185524b3906e52z?64f<3027?o?4;3b9~w0412909w0;?d;6;?82d;3>8o6s|53594?4|5<:n6964=5a7>15d3ty>>54?:3y>15`=<1168n;542a8yv3513:1>v3:1187<>;3k?0??n5rs40b>5<4s4>2:7:7e:?7ec<>>27>>o4;3b9~w04d2908w0:67;6;a>;3j902:63:2e877f=z{<8n6=4<{<6:13>3i63;b38:2>;2;80??n5rs411>5<4s4>2m7:7e:?7f6<>>27>?>4;3b9~w0532908w0:6b;6;a>;3j=02:63:34877f=z{<9=6=4<{<6:g?2?m27?n8466:?672<3;j1v8=7:18082>l3>3i63;b78:2>;2;00??n5rs41b>5<4s4>2i7:7e:?7f2<>>27>?o4;3b9~w05d2908w0:6f;6;a>;3j102:63:3e877f=z{<9n6=4<{<6b4?2?m27?n4466:?67c<3;j1v8:?:18082f93>3i63;b`8:2>;2<80??n5rs461>5<4s4>j>7:7e:?7fg<>>27>8>4;3b9~w0232908w0:n3;6;a>;3jj02:63:44877f=z{<>=6=4<{<6b0?2?m27?ni466:?602<3;j1v8:7:18182em33=70;;9;60g>{t==k1<7=t=5c6>1>b34>ij779;<77f?24k2wx99m50;1x91g12=2n70:l0;;5?833l3>8o6s|55g94?5|5=k<696j;<6`5??134??j7:{t=<81<7=t=5c:>1>b34>h?779;<767?24k2wx98:50;1x91gf2=2n70:l4;;5?832=3>8o6s|54494?5|5=ki696j;<6`1??134?>;7:=839p19ol:5:f?82d>33=70;:9;60g>{t=12d34>?m79?;<76`?173ty>9o4?:0`x903f2=:019m6:51`?83313=970;;7;51?833=3=970;;3;51?83393=970;7}:=54cy>2`d=;l16:hm53d9>2`b=;l16:k653d9>2c?=;l16:ko53d9>2cd=;l16;8l53d9>=5g=;l16;hh53d9>3c6=;l16;ko53d9>3cd=;l16;km53d9>3cb=;l16;kk53d9>3c`=;l164=>53d9><57=;l16;k?53d9>3c4=;l16;k=53d9>3c2=;l16;k;53d9>3c0=;l16;k953d9>3c>=;l16;k753d9>36?=;l16;>o53d9>36e=;l16;>j53d9>36c=;l16;>h53d9>316=;l16;9?53d9>314=;l16;9=53d9>36d=;l16;9h53d9>306=;l16;8<53d9>305=;l16;8:53d9>303=;l16;8853d9>301=;l16;8653d9>30?=;l16;8?53d9>3gc=;l16;oh53d9>3f7=;l16;n<53d9>3f5=;l16;n:53d9>3f0=;l16;n953d9>3f>=;l16;n753d9>3f6=;l164hk53d9><``=;l164k?53d9>53d9>133=<:i0q~;94;296~;2>:0?8n52577935=z{<<=6=4={<757?23l27>:54;3b9~w0002909w0;97;60g>;2>10<>6s|57c94?4|5<2652z?62d<3v3:71877f=:=>81;?5rs453>5<5s4?<=7::7p}:7583>6}:=>>18>m4=455>17<5<2369?4}r741?6=;r7>;84;3b9>122=<1o01868:848yv30>3:1?v3:77877f=:=>218<5259;904=z{<=<6=4<{<743?24k27>;;4;8d9>1=>=1?1v897:18083003>8o63:7`875>;20h0?=6s|56;94?5|5<=269=l;<7444466:p12g=839p189n:51`?830k3>:70;7b;62?xu2?k0;6>u256`906e<5<=j696j;<7;e??13ty>;n4?:2y>12e=<:i0189j:53890>d2=;0q~;8d;297~;2?m0??n5256a90=c<5<2i6484}r74a?6=;r7>;h4;3b9>1=6=<81695j5409~w01a2908w0;8f;60g>;2?l0?4h5259a9=3=z{<2;6=4<{<7;4?24k27>4?4;1:?6<`<392wx95?50;1x90>62=9h70;70;6;a>;20m02:6s|59094?4|5<2969=l;<7;b?263ty>4>4?:2y>1=5=<:i0186=:5:f?83?m33=7p}:8583>6}:=>9185k4=4:5><0<5<2>69=l;|q6=5<72:q694>542a890?62=;018o;:538yv3>93:1?v3:90877f=:=0918<525`7904=z{<396=4<{<7:6?24k27>5<4;8d9>1d2=1?1v87<:18083>;3>8o63:94875>;2i?0?=6s|58694?5|5<3?69=l;<7:7?2?m27>m8466:p1<3=839p187::51`?83>?3>:70;n7;62?xu21?0;6>u2584906e<5<3>696j;<7b2??13ty>5:4?:2y>1<1=<:i01876:53890g?2=;0q~;68;297~;2110??n5258590=c<5544;3b9>1;2100?4h525`:9=3=z{<3i6=4<{<7:f?24k27>5i4;1:?6ed<392wx94m50;1x90?d2=9h70;6b;6;a>;2i002:6s|58f94?5|5<3o69=l;<7:b?2634?jn7:>;|q6=`<72:q694k542a890?c2=2n70;na;;5?xu21o0;6?u258d906e<5m=4;3b9>1<`=<1o018om:848yv3f93:1?v3:9187<`=:=h915;525`0906e53z?6ea<3;j169lk5409>1f7=<81v8oj:18083fm3>8o63:b1875>;2k;0?=6s|5`d94?5|5o<466:p1g6=839p18l?:51`?83e:3>:70;l3;62?xu2j80;6>u25c3906e<5n?4?:2y>1g4=<:i018l;:53890e32=;0q~;m3;297~;2j:0??n525c090=c<5n94;3b9>1g0=<8169n;5409~w0d22908w0;m5;60g>;2j=0?4h525b69=3=z{n54;1:?6g3<392wx9o950;1x90d02=9h70;m6;6;a>;2k<02:6s|5c:94?5|5;|q6f<<72:q69o7542a890d?2=2n70;l6;;5?xu2jh0;6>u25cc906e<51753z?6fg<3;j169oo549g890e020<0q~;mc;296~;2jj0??n525b;904=z{nn4;8d9>1f>=1?1v8lj:18083fl3>3i63:c18:2>;2jo0??n5rs4ab>5<5s4?hm7:>2wx9nl50;1x90ee2=9h70;lc;62?83cn3>:7p}:cb83>6}:=ji18>m4=4af>17<5oi4;3b9>1fe=<1o018ji:848yv3dm3:1?v3:cd877f=:=m:18<525d3904=z{oh4;8d9>1`6=1?1v8j?:18083c83>8o63:d3875>;2m;0?=6s|5e394?5|5i<466:p1a4=839p18j=:51`?83c<3>:70;j3;62?xu2l:0;6>u25e1906e<5h94?:2y>1a2=<:i018j9:53890c32=;0q~;k5;297~;2l<0??n525e690=c<5h;4;3b9>1a>=<8169h;5409~w0b02908w0;k7;60g>;2l?0?4h525d69=3=z{hl4;1:?6a3<392wx9i750;1x90b>2=9h70;k8;6;a>;2m<02:6s|5ec94?4|5ho4?:2y>1ad=<:i018jn:5:f?83b>33=7p}:db83>6}:=jh185k4=4ff><0<59;18<5rs4gb>5<4s4?nm7:5979~w0ce2908w0;jb;60g>;2mj0?=63903875>{t=li1<7=t=4g`>15d34?nh7:>;<437?263ty>ii4?:2y>1`b=<:i018ki:53893632=;0q~;je;297~;2ml0??n525df90=c<5?:86484}r7fb?6=;r7>ik4;3b9>1c6=<816:=;5409~w0`72908w0;i0;60g>;2n80?=63907875>{t=o;1<7=t=4d2>15d34?m?7:>;<433?263ty>j?4?:2y>1c4=<:i018h>:5:f?807>33=7p}:f283>7}:=o918>m4=72;>1753z?6ag<30l16:=?5979>1c3=<:i0q~;i6;297~;2mj0?4h526109=3=:=o=18>m4}r7eik4;8d9>252=1?169k7542a8yv3ai3:1?v3:f187<`=:>9?15;525g`906e53z?6b6<30l16:=95979>1cb=<:i0q~;ie;296~;18102:63:fg877f=z{?:26=4<{<43=?24k27=;1:802:6s|61`94?5|5?:i69=l;<43g?2634<9?7:>;|q54f<72:q6:=m542a8936c2=;01;<;:538yv07l3:1?v390e877f=:>9o18<52637904=z{?:n6=4<{<43a?24k27===4;1:?563<392wx:=h50;1x936a2=9h708?e;6;a>;1:<02:6s|60294?5|5?;;69=l;<425?2634<9;7:>;|q554<72:q6:v3913877f=:>;318<5rs730>5<4s4<;n7:7e:?567<>>27==94;3b9~w3722908w08?c;6;a>;1::02:63917877f=z{?;<6=4<{<43`?2?m27=>9466:?55=<3;j1v;?6:18080683>3i639278:2>;19h0??n5rs73a>5<4s4<:=7:7e:?562<>>27==n4;3b9~w37c2908w08>2;6;a>;1:102:6391d877f=z{?;m6=4={<41=??134<9<7:p1;j::608900d2=9h70;80;50?83093=97p}92c83>6}:>m?1;<52664906e<5?=26:<4}r41g?6=222=?;16:i<5739>210=?81v;8o6397c847>;2?;0?4h5rs70f>5<>s4>>479?;<66=?1734>>m79?;<66f?1734>>o79?;<66`?1734>>i79?;<41b?23k27?9k480:p266=838p1;m8:5:890bb2=9h7p}93083>7}:>k9185525b2906e7>52z?5g=<3027>hk4;3b9~w3542909w08m4;6;?83d93>8o6s|62694?4|5?i26964=4g3>15d3ty=?84?:3y>2g3=<1169n<542a8yv04>3:1>v39c`87<>;2m80??n5rs714>5<5s4650;0x93ee2=2018k=:51`?xu1;00;6?u26c590==:=j>18>m4}r40e?6=:r7=on4;8:?6a6<3;j1v;=m:18180e03>370;l5;60g>{t>:i1<71><51f0=<:i0q~87}:>kh185525b:906e7>52z?5`5<3027>i:4;3b9~w3242909w08mc;6;?83d13>8o6s|65694?4|5?>?69:l;<4g212=<=n01;:8:51`?xu1<6:?4}r47?h6392g870a=:>=k18>m4}r47f?6=>r79<;481:?2bg<3i2799l482:?510<33d6=?;1v;:l:185847?3=:70?id;6b?842j3=9708:6;67g>;01o0<>638a1840>{t>=n1<79t=32;>27<58ln69o4=37`>24<5??<69:l;<5b6?1334=2j79;;<5b4?143ty=8h4?:6y>65?=?816=kh54`9>60b=?;16:86545a892g52>901:7i:63892g72>;0q~8;f;292~;58h0<=63=0187e>;5=l0<>63958870f=:?h81;?527`390=c<7>56z?14g<09279<<4;a:?11c<0:27=9l4;4b9>3d4=?816;4j5709~w336290=w0j70<90;51?802j3>?o638a5846>;0i<0<86s|64094?3|5;:o6:?4=320>1g<5;<:6:<4=77`>12d34=j479<;|q516<72=k5709>652=;<5739>20b=<=i01:o7:668yv02<3:1:v3=0g845>;58<0?m63=62846>;1=l0?8n527`6934=:?h?1;>5rs77e>5<5s4<>97:7;<7;2?24k2wx:;>50;0x93312=201868:51`?xu1>80;6?u264590==:=1218>m4}r456?6=:r7=954;8:?6<<<3;j1v;8<:18180213>370;7a;60g>{t>?>1<71><5<2i69=l;|q520<72;q6:8l5499>1=e=<:i0q~896;296~;1=j0?463:8e877f=z{?<<6=4={<46`?2?34?3i7:=838p1;;j:5:890>a2=9h7p}96883>7}:>9:18>m4=456>2752z?544<3;j169:95709~w30e2909w08?2;60g>;2?00<=6s|67a94?4|5?:869=l;<74f?163ty=:i4?:3y>252=<:i0189k:638yv01m3:1>v3904877f=:=>l1;<5rs74e>5<5s4<;:7:50;0x93602=9h70;73;52?xu1?80;6?u261:906e<5<2m6484}r446?6=9200=<916:895419>20>=<916:875419>20g=<916:8l5419>20e=<916:8j5419>20c=<916:5m5419>2=b=<916:5k5419>2=`=<916:4>5419>2<7=<916:4<5419>2<5=<916:4:5419>2<3=<916::;542a8yv00<3:1?v3975877f=:>>?1;<5265c934=z{?=<6=4={<443?24k27=;4481:p22?=839p1;9::608931>2=9h708;a;50?xu1?k0;6?u266`906e<5?=h6:<4}r44g?6=;r7=;n4;3b9>223=?=16:9o5739~w31c2909w088d;60g>;1080<=6s|66g94?4|5?2:6:=4=7:3>15d3ty=;k4?:3y>22`=<:i01;6?:638yv0?93:1?v3980877f=:>>?1;>5265c931=z{?296=4l{<3gg?1634;o=7:n;<004?1534;m=79>;<3f2?2f348?979=;<02f?16348:<7:n;<05b?1534<3o7:;c:?4=f<0;27:60894`52>;01801??l:63897752=k01?9?:60893>c2=>h7096c;57?81f?3=9709m1;50?xu10=0;6hu21eg934=:9m>18l52220937=:9o91;<521d;90d=::==1;?5220f934=::8918l52263937=:>1o189m4=6;`>24<5>k<6:=4=6`2>22<5>h96:<4}r4;1?6=kr7:hk481:?2`0<3i279?>482:?2b1<0927:il4;a:?10=<0:279=h481:?151<3i279;?482:?53db=?81v;69:18a87b83=:70?k6;6b?844<3=970?i5;52?87bj3>j70<;9;51?846n3=:70<>5;6b?840;3=970860;67g>;0il0?4h5rs7:4>5;<022?2f348<879=;<4:5?23k27=83np1:63897702=k01?9::60893?52=>h709nf;51?87b:3=:70?i7;52?81f>3=970<<6;50?843j3=8709m0;6;a>{t>131<7kt=0f:>1g<58on69o4=301>27<5;;369o4=355>24<5?3869:l;<5bb?1634;n?79>;<3e79<;|q55ag=>65739>5c?=?816=hh54`9>61b=?;16>?=5709>64?=:95739>2<2=<=i01:oi:61892g12>;01:l>:60892d52>;0q~87b;29f~;6m<0<=63>dc87e>;6nh0<=63>f187e>;5:=0<=63=1`87e>;5?10<>63994870f=:?hk1;95222;936=::=o1;>5rs7;5>5<5s4<3o7:7;<7b7?24k2wx:4950;0x93>c2=2018o;:51`?xu1110;6?u269g90==:=h?18>m4}r4:=?6=:r7=4k4;8:?6e3<3;j1v;7n:18180>83>370;n7;60g>{t>0h1<71><51d?=<:i0q~86d;296~;11:0?463:a`877f=z{?3n6=4={<4:0?2?34?jn7:7}:>;;18>m4=4;1>2752z?567<3;j1694:5709~w3g52909w08=3;60g>;21?0<=6s|6`194?4|5?8?69=l;<7:273=<:i0187n:638yv0f=3:1>v3927877f=:=0i1;<5rs7c5>5<5s4<9;7:4;4b9>13b=?816::=5739>1=3=?9169oh5719~w3gf290>w08m4;67g>;2>j0;2io0<=63:e`845>{t>hh1<78t=7`6>12d34?=o79=;<447?1334<<;79<;<7a5?1634?m979?;|q5ef<72?q6:o8545a8900d2>;01;9<:61893102>>018l<:63890`02>:0q~8nd;293~;1j>0?8n5257f936=:>>=1;<5266`937=:=k?1;<525dg934=:=>;1;95rs7cf>5n:481:?6b<<0827>;=481:?634<0;2wx:lh50;5x93d>2=>h70886;51?800j3=:70;m9;52?83aj3=;70;80;51?83093=:7p}9b183>3}:>kk189m4=755>25<5?=h6:?4=4`a>27<52757z?5fg<322e=?:169oj5709>13c=?;16::75729>1cb=?91v;l=:18480ek3>?o63977845>;1?j0<863:c`845>;2>l0;2no0<<6s|6cf94?1|5?i<69:l;<7579?;<7g`?173479<;<472?1434<801;9;:61890ec2>;01;>n:63893b52>>01;:9:608931a2>90q~8mf;292~;1k00?8n52575931=:>>k1;>525bd934=:>8>1;=5266d931=z{?i;6=47{<4`e?23k27>::483:?531<0<27>h<481:?553<0827=h?481:?503<0<27=;k481:p2f7=838;53?80?83>3i6s|6b094?0|5?ih69:l;<44e?1634<3=79=;<7g1?1634<;j79>;<754?:7y>2fb=<=i01;97:618931c2>>018j8:638900>2>>01;?n:628yv0d<3:1:v39cd870f=:>>21;?5266f936=:=m31;<5257;937=:>8i1;=5rs7a6>5<1s4:4481:?55`<082wx:n850;:x93b72=>h7088d;52?800<3=:70;j8;52?83113=8708=0;53?80c;3>3i6394687<`=z{?n:6=4m{<4`3?23l27=o54;4e9>2f?=<=n01;mn:56g?80dj3>?h639cb870a=:>jn189j4=7af>12c34v39d3877f=:>m91;?5rs7f7>5<6=r7=o:4;0:?5g=<3827=o44;0:?5gd<3827=oo4;0:?5gf<3827=oi4;0:?5g`<3827=ok4;0:?5`5<3827=n>4;4e9>2g2=<=n01;l::56g?80e>3>?h639b6870a=:>k2189j4=7`:>12c346}Y>m=01;j7:51`?80c?3>?96s|6d:94?4|5?oi69:l;<4fb?163ty=i44?:3y>2`e=<=i01;h>:638yv0bi3:1>v39ee870f=:>o91;<5rs7gf>5<4s424<5?om69=l;|q5b5<72:q6:hm5499>ff<0:27=j<4;3b9~w3`5290jw08jd;6;?8dc2>801ok5739>fc<0:27h<79=;24<5k21;?526g1906e<51ln6:=4=6af>2553z?5b=<3`g<092wx:k;50;1x93`>2=>h70?=f;53?8bd2>;0q~8i6;297~;1nh0?8n52122935=:lm0<=6s|6g594?>|5?li69:l;25<589:6:>4=eg937=:lo0<>63j0;51?8c62>801h<5739~w3`d290:nv39f9874>;1n00?<639f`874>;1nk0?<637ad847>;1no0??n5284a937=:l10<>6388`846>;?0<0<>63786846>;?>=0<>63767846>;?>10<>6376`846>;?>j0<>6376d846>;??90<>63kb;50?8bd2>901ij5729>``<0;27oj79<;25<5l;1;>52e3847>;>990<>6s|6gf94?`|5?lo69=l;<4eb?13342?n79;;<:62?13342>h79;;<:6a?13342>j79;;<:54?13342==79;;<:7g?13342?h79;;<:7a?13342?j79;;<:64?13342>n79;;|q5b`<72oq6:kk542a893`a2>8015:m:6089=312>8015;k:6089=3b2>8015;i:6089=072>80158>:6089=2d2>8015:k:6089=2b2>8015:i:6089=372>8015;m:608yv15?3:1>v39fe840>;0:10??n5rs60b>5<5s42=201<m4}r51`?6=:r7=jo4;8:?274<3;j1v:?o63=ec845>;5mj0<=63=07846>;5=h0<=63=4g87e>;fl3=:705<3s4=8n7:;c:?a;<14a?173ty36e=<=i01o>54`9>50d=?816?5>5719>fd<082wx;><50;7x925c2=>h70lm:6389g7=53e=?91v:=<:186814m3>?o63mc;52?8d52=k01<;j:63896>52>:0q~9<4;291~;0;o0?8n52be845>;e;3>j70?90;52?85?<3=;7p}83483>0}:?=:189m4=cg934=:j=0?m63>63845>;40?0<<6s|72494?3|5>>:69:l;<`e>27<5k?18l52176934=:;121;=5rs614>5<2s4=?>7:;c:?`4?1634h=69o4=045>27<5:2j6:>4}r504;4b9>g4<0927i;7:n;<35801>ji:60897d42>;01?6k:63897>52=k01?j::60896672>801?o<:63897??2=k01??m:608970a2>;01?8;:5c89fc=?81v::9:18481283>?o63<38846>;4m80<>63lf;6b?853m3=:70?;b;53?8bf2>:0q~9;5;292~;0=80?8n5232:937=:;l:1;?5215d934=:l10<=63<58844>{t?==1<79t=671>12d3498m79=;<1f6?1534n;69o4=01`>27<5:?i6:>4=e`937=z{>>36=48{<567?23k278?o482:?0a6<0:27o=7:n;<165?1634;?h79?;2457z?411<3m5739>7`2=?;16h?4;a:?27c<092789i480:?g`?153ty<8l4?:6y>303=<=i01>=k:60896c22>801i=54`9>517=?816hh481:?01c<082wx;9l50;5x92312=>h70=3=970j;:5c894242>;01ih5709>737=?91v::l:184812?3>?o63<3g846>;4m>0<>63k5;6b?873=3=:70k?:63896042>:0q~9;d;293~;0=10?8n52352937=:;l21;?52d787e>;6<>0<=63j1;52?851=3=;7p}84d83>2}:?<3189m4=262>24<5:o26:<4=e590d=:9=31;<52e3845>;4>>0<<6s|74c94?5|5>?i69:l;<6go579=;|q41a<72;q6;8k542a894g720<0q~9:f;292~;0>k0<>6385b877f=:??i1;?5274g936=:??:1;?5225g937=z{><;6=4={<00f?2?m27<:=4;3b9~w2062909w0<;0;6b?811<3>8o6s|77094?5|583<696j;<3b1??134==?7::5c892012=9h7p}86783>6}:??=18>m4=0;;>1>b34;j:779;|q42=<72;q6>9<54`9>564=<:i0q~999;297~;5;6;=0??n5rs64b>5<5s48?87:n;<55f?24k2wx;;l50;1x920d2=9h70?6b;6;a>;6i002:6s|77g94?4|5>32e=?;16;;j542a8921c2>801:8i:61892162>801?=6:608yv1093:1>v3=2787<`=:?>;18>m4}r546?6=:r79>o4;a:?430<3;j1v:9<:180870m3>3i63>8b8:2>;0?=0??n5rs655>5<5s489o7:n;<543?24k2wx;:950;1x921?2=9h70?8f;6;a>;60m02:6s|76;94?4|5;8o69o4=015>15d3ty<;l4?:2y>66>=?816>?k54`9>56>=<:i0q~98b;296~;5:o0?m6387b877f=z{>=h6=4<{<54`?24k27:4?4;8d9>5<6=1?1v:9j:18b812j3>?h637ad845>;0?l0??n52866937=:0?21;>5287c936=:0?i1;>5287g936=:0>:1;>52860936=z{>=m6=4l{<5;4?24k273:?483:?2ff<0;278=o483:?;33<0;273;5483:?;3<<0;273;o483:?;3a<0;273;k483:?;<4<0;278=n483:p3=6=83ip1;h<:61893`62>90156<:618967b2>901>90156::6189=>02>90158;:6189=012>901:6>:51`?81?:3=;7p}88683>7}:?52z?41g<3827<4l4;3b9~w2>e2909w0?j7;6;a>;00k0??n5rs6:`>5<5s4;m579=;<112?24k2wx;5j50;0x94`f2>801><8:51`?xu00l0;6?u21e090=c<5>2n69=l;|q477g=<:i0q~960;296~;6m<0<>63<2c877f=z{>3:6=4={<5:6?23k27=>k4;8:p3<5=838p1l3=97p}89583>7}:9l=18>m4=6c0>2452z?2bf<3;j16;l75709~w2?12909w0<>1;60g>;0i00<>6s|78594?4|5;8=69=l;<5:`?143ty<554?:3y>66d=<:i01:o<:638yv1>13:1>v3=51877f=:?h31;>5rs6;b>5<5s48=97:kh6:?4}r5:`?6=:r7n3>8o638a0846>{t?0l1<715d34=j=79>;|q4e7<72;q6;l<542a892g32>90q~9n3;296~;0i:0??n527`6931=z{>k?6=4={<5b0?24k277}:?h<18>m4=6c`>2552z?4e=<3;j16;lo5709~w2ge2909w09nc;60g>;0il0<>6s|7`a94?4|5>ko69=l;<5ba?163ty3g6=<:i01:ok:608yv1e;3:1nv38bd870f=:;:=1;<5233f90d=:;9:1;<522g790d=::h91;?521ea937=::::1;<5223790d=:ml0?m63i8;52?xu0j<0;6lu27cd901e<5:926:?4=20f>1g<58no6:<4=312>27<5;8<69o4=dd90d=:;021;<52f`845>;60=0<<6s|7c694?1|5>i;69:l;<10;<1b7?1634=3i79>;<545?1634l26:?4}r5a2?6=ir776g=?816??h54`9>5ac=?;16>><5709>67>=;<1b1?173ty3f4=<=i01>=m:63896572=k01;01?<6:5c89c7=bf<0927:4;480:p3g>=83kp1:m<:56`?854k3=:70=<1;6b?87b83=970<<4;52?845i3>j70h=:5c8941c2>;01kj5709>7d1=?91v:l6:1821~;0k=0?8n5212:936=:9:<1;>52765934=:;;k1;>5233;936=:9:=1;>521d0937=:9l91;>521d3937=:::?1;<52224934=:::=1;95238g934=:?>?1;=52766935=:?>21;?5212;931=:;hk1;9523`;936=:;h21;?5rs6a6>5<0s4=h97:;c:?42a<09278?i481:?077<3i27m?7:n;27<5:8h6:?4}r5ae?6=9?q6;n8545a8965b2>;01>=<:5c89c2=65739>560=?;16;:95739>77g=?;16??75739>561=?;16jk481:?2a7<0;27:i>484:?173<0:279?:482:?42a<0<278>n482:?43=<0927:?4483:?0ed<0;278m4482:?0e=<092wx;ol50;3181d?3>?o63<3g845>;4;=0?m63i5;6b?87403=?70?<6;52?855i3=?7099d;50?85513=:70==b;50?874?3=:70=nb;50?85f13=:70??0;52?87b;3=970<<7;52?87413=970=na;51?xu0jj0;6hu27b:901e<5:>;6:?4=216>1g<5o<18l5212:934=:;;k1;<5233`937=:9:31;<523``937=:;h91;>523`c934=:?1o1;>52113934=:??n1;?5rs6`g>5;<11f?1634=;<55b?15349jn79>;<1b7?1534=3i79=;<545?1434;;>79>;<00=?163ty62e=<:i01:mj:6089c>=?;16jl482:?ef?1534lh6:<4=gf937=:nl0<>63if;51?87783=970??1;51?877:3=970h6:60892ed2>:0q~9lb;297~;bm3>:70??3;62?81dk3>8o6s|7bf94?b|5>hn69>4=6`e>16<5>i:69>4=6a1>16<5>i869>4=6a7>16<5>i=69>4=6a4>16<5>i369>4=6a:>16<5>i;69>4=6af>15d34=h97:?;|q4gc<72;q6?9<542a8971c2>;0q~9k0;290~;4<:0??n5226a90d=::1;1;=527bg934=z{>n:6=4={<170?24k279;i482:p3a4=838p1>:::51`?840l3=87p}8d283>7}:;=<18>m4=35g>2252z?002<3;j16>:k5709~w2b22909w0=;8;60g>;5?l0<>6s|7e494?4|5:>269=l;<04a?143ty71g=<:i01?9j:668yv1c03:1>v3<4c877f=::>l1;<5rs6f:>5<5s49?o7:h709?0;52?xu0m?0;6?u27g2901e<5>;>6:?4}r5g`?6=;r774`=?;1648=5709~w2bb2908w09i2;67g>;4:80<>63755845>{t?ml1<7=t=6d0>12d3499=79>;<:61?163ty3c2=<=i015;8:638926d2>90q~9j1;297~;0n<0?8n5284:934=:?9i1;?5rs6g1>5<4s4=m:7:;c:?066<0:27394481:p3`5=839p1:h8:56`?855;3=:706:a;52?xu0m=0;6>u27g:901e<5>:m6:=4=631>2753z?4b<<335`=?;1v:k8:18181ai3>?o63819845>{t?l21<7=t=6da>12d349:h79=;<52f?163ty3ce=<=i01>?k:638927b2>;0q~9ja;297~;0nm0?8n52733934=:?9<1;>5rs6ga>5<4s4=mi7:;c:?461<0927<<;482:p3`e=839p1:hi:56`?856n3=:709?3;52?xu0mm0;6>u2812901e<51?:6:?4=62:>2553z?;44<335?=?;1v5>=:184873m3>8o63=d7845>;5kk0?m63<00845>;5n?0?m63705844>;5j00<<6s|81194?4|5;<>696j;<:30?24k2wx4=;50;4x94372=9h7024<5::86:<4=352>27<5;<<69o4}r:33?6=>r7:9?4;3b9>6g2=?816>i75739>752=?;16>:<5709>63>=7:185872;3>8o63=b5846>;5lh0<>63<04846>;5?:0<=63=6887e>{t0931<78t=077>15d348i879<;<0gf?15349;:79=;<040?16348=m7:n;|q;4d<72?q6=8;542a897d22>;01?9::638970e2=k01?jl:63896602>;0q~6?b;292~;6=?0??n522c7937=::><1;<5227a90d=::mn1;<5231:934=z{1:h6=49{<363?24k279n8483:?132<09279:i4;a:?1``<09278<4481:p<5b=83=}:9?n18>m4=3a1>1g<51h96:?4=82f>24<51;;6:>4=3a:>26<50;i6:?4=9`6>2452z?115<30l164<>542a8yv>693:18v3>6g877f=::j?1;<5224`934=::<;18l5rs931>5<2s4;<<7:481:p<45=83?p1<9>:51`?84d=3=870<:d;52?842;3>j707>3;51?xu?9=0;68u2160906e<5;i=6:?4=37f>27<5;??69o4=830>2555z?236<3;j16>n85739>60`=?816>8;54`9>=45=?=1v5?9:185870<3>8o63=61845>;5=?0?m63616846>;5jl0<=63885845>{t08=1<79t=056>15d348h;79>;<055?16348>;7:n;<5;7?16342i?79>;<5;0?143ty3=54?:6y>520=<:i01?m8:60897052>;01?;7:5c892>42>8015l<:60892>32>80q~6>9;29=~;6?>0??n52271934=::<318l528c0937=:19o1;<522b3934=:?191;>52796931=:0k?1;<5rs93b>5<5s4;3;7:?2=9h70=?2;52?84a?3>j7p}71b83>6}:91318>m4=220>27<5;l369o4}r:2`?6=;r7:4l4;3b9>752=?816>k754`9~w=7b2908w0?7b;60g>;48<0<=63=f`87e>{t08l1<7=t=0:`>15d349;:79>;<0ef?2f3ty3>=4?:2y>5=b=<:i01?hl:5c896602>80q~6=1;297~;60l0??n522gf90d=:;921;?5rs901>5<4s4;3j7:j70=?a;51?xu?:=0;6?u21`2906e<5;n=6:<4}r:11?6=;r7:m<4;3b9>6a1=?816>nm54`9~w=412908w0?n2;60g>;5l10<=63=ce87e>{t0;=1<7=t=0c0>15d348o579>;<0`a?2f3ty3>54?:2y>5d2=<:i01?jn:63897ea2=k0q~6=9;297~;6i<0??n522e`934=::m:18l5rs90b>5<4s4;j:7:j70u21`:906e<5;n869o4=3ff>2453z?2e<<3;j16>i:54`9>6a`=?;1v58o6379c845>{t0;l1<715d342jn79<;|q;75<72;q6=oh542a89=g>2>90q~6<1;296~;6k90??n528`5936=z{1996=4={<3`5?24k273m8483:p<65=838p1f;3=87p}73583>7}:9j918>m4=9c2>2552z?2g1<3;j1644h5729~w=512909w0?l5;60g>;?1m05f1=<:i01576:638yv>413:1>v3>c9877f=:00=1;<5rs91b>5<5s4;h57:l50;0x94ef2=9h70663;51?xu?;j0;6?u21b`906e<513:6:<4}r:0`?6=:r7:on4;3b9><=`=?:1v5=j:18187dl3>8o6378e847>{t0:l1<715d3423n79<;|q;05<72;q6=nh542a89=gc2>90q~6;1;29f~;?il0<>63775845>;?>10<86376`840>;?>j0<86376d840>;??90<863773840>;>:80??n52930935=:18:1;>5rs961>5<2s483?7:p1?76:51`?81?03=:707=1;50?8?5;3=87p}74583>c}::k818>m4=7de>25<51>i6:=4=975>25<51?o6:=4=97f>25<51?m6:=4=943>25<51<:6:=4=96`>25<51>o6:=4=96f>25<51>m6:=4=973>25<51?i6:=4}r:71?6==r79o?4;3b9>32c=?816;5>5709>=4>=?816;5?5709~w=21290>w0;0?l0<863881840>;>910{t0==1<7:t=3g:>15d34=3479<;<;15?163439?79>;|q;0=<72=q6>k8542a892>?2>8014<>:6689<442>>0q~6;9;29g~;5mh0??n528c0931=:0<;1;952840931=:0<91;952846931=:0j6=4={<120?2?m273:?4;3b9~w=042908w0?nb;6;a>;6jl02:63765877f=z{1<>6=4<{<3bg?2?m27:nk466:?;23<3;j1v588:18087fl3>3i63>c18:2>;?>10??n5rs94:>5<4s4;ji7:7e:?2g4<>>273:l4;3b9~w=0e2908w0?nf;6;a>;6k;02:6376b877f=z{1466:?;2`<3;j1v58i:18087e93>3i63>c58:2>;??90??n5rs952>5<4s4;i>7:7e:?2g0<>>273;?4;3b9~w=142909w0?l6;;5?8>0<3>8o6s|86794?5|58h8696j;<3`3??1342<:7:?9:5:f?8>003>8o6s|86:94?5|58h?696j;<3`{t0>i1<7=t=0`5>1>b34;hm779;<:4`?24k2wx4:k50;1x94d02=2n70?lb;;5?8>0n3>8o6s|89294?5|58h3696j;<3`g??13423=7:{t01>1<7=t=0`b>1>b34;hi779;<:;1?24k2wx45850;1x94de2=2n70?lf;;5?8>??3>8o6s|89:94?4|5>l26964=9::>15d3ty34l4?:3y><56=<11645l542a8yv>?k3:1>v38fg87<>;?0m0??n5rs9:f>5<5s4=mi7:7;<:;b?24k2wx44>50;0x92`c2=20157>:51`?xu?1;0;6?u27ga90==:00918>m4}r::0?6=:r7370667;60g>{t0021<71><513269=l;|q;=d<72;q6;hh5499><7}:?o?185528`1906e52z?4b1<30273m84;3b9~w=g12909w09i3;6;?8>f?3>8o6s|8`:94?4|5>l96964=9c:>15d3ty3ml4?:3y>3c7=<1164ll542a8yv>fk3:1>v370087<>;?im0??n5rs9cf>5<64;0:?4b1<3827>1;>526g1937=:>o;1;?526dd937=:0?81;?521ca937=:;8h1;?52864937=:0>21;?5286;937=:0>h1;?5286f937=:0>l1;?52893937=:0191;?5230a937=:;8o1;?52332937=:;;81;?52860937=:0hl1;?5rs9`4>5<6:r78:54;3b9>6=c=?816>l:5709>356=?;16;<;5739>34>=?;16;34c=?;16;??5739>372=?;16;==5739>350=?=16;=75759>35e=?=16;=h5759>344=?;1v5l7:18184693>3i637b8877f=z{1hj6=48{<15=?24k279494;a:?1=d<3i279=n482:?1j3>j70<>d;51?84>83=9702}:;?h18>m4=3:5>1g<5;3h69o4=33f>24<5;3:6:<4=3c4>24<5>8<6:=4}r:a`?6=?r78:n4;3b9>6=1=4j54`9>64`=?;16:kj5709>6<4=?;16>l65739~w=db290;5010?m63=9d87e>;5:90<>639fd846>;51:0<>63=a8846>{t0kl1<79t=24f>15d3482879>;<0;=?2f348jm79>;<0:b?2f3489=79=;<4ea?163ty3o=4?:6y>73`=<:i01?7::63897>f2=k01?om:63897g72=k01?<=:60893`c2>90q~6l1;293~;4?90??n52284934=::1h18l522`a934=::h;18l52231937=:>on1;?5rs9a1>5<0s49<=7:6k:51`?84b13>j7069=l;|q;g3<72801?kl:60897602>8014?l:638yv>d?3:19v3<8g877f=::ln1;<522da936=::921;?5290a937=z{1i36=4:{<1:4?24k279ii482:?1af<0<279<4482:?:5f<0;2wx4n750;7x96?62=9h700}:;0818>m4=3gg>22<5;on6:<4=32a>24<50;o6:<4}r:`f?6==r785>4;3b9>6``=?816>hk5729>65e=?;165w0=64;60g>;5mo0<>63=ed840>;58m0<>6361d846>{t0jn1<7;t=2;6>15d348nj79<;<0e4?16348;i79=;<;2a?143ty3oh4?:4y>7<0=<:i01?ki:66897`72>801?>i:6089<7b2>>0q~6lf;297~;4ij0??n522`6937=::0318l5rs9f3>5<5s49jh7:6d>=?81v5j;:18185e93>8o63=a8845>{t0m?1<715d348jm79=;|q;`3<72;q6?o=542a897ge2>80q~6k7;296~;4j=0??n522`a937=z{1n36=4={<1a1?24k279mi482:pmm:51`?84?m3=970<73;6b?xu?lh0;6?u23ba906e<5;2m6:?4}r:gf?6=:r78oi4;3b9>6<6=?81v5jl:18185dm3>8o63=90845>{t0mn1<715d3482>79>;|q;``<72;q6?i>542a897?42>;0q~6kf;296~;4l80??n52286937=z{1o;6=4={<1g6?24k27958482:p<`7=838p1>j<:51`?84>>3=97p}7e383>7}:;m>18>m4=3;4>245bz?;a`<37a3=5j5739>6a3=?816>no54`9>5c7=?;16>9;5709>66g=54>=?81v5k::18b8>bn3>?o63;4l?0?m63>f3846>;5;68o0?m63;69h0<=63>9e844>{t0l>1<79t=9d3>12d349n<79>;<56a?16349h>79>;<5;f?1634==<79>;<32=?163ty3i;4?:`y>k=:63896b02=k01;01?=k:5c894772=k01<7<:638947e2>;01>m;:628yv>b?3:1mv37f3870f=:;l91;<523e:90d=:9o>1;?5225:934=:::o18l5210390d=:;kk1;<5210a934=:90l1;=5rs9g;>5a<3>?o63>35846>;6;;0<>63867845>;4:?0<>63<24847>;6n>0<>63>f9847>;6n?0<>63=4`845>;5;4jm0<=63865844>;0>:0<<63866846>;6;:034840>;4k00<863;4k>0<>6s|8g794?1|51l>69:l;<56g?16349n979>;<1ge?2f34;:?7:n;<32a?163499479>;|q;ad<7283=:70=kb;6b?876<3>j70?<4;50?874:3=870996;51?855>3=870==5;51?874=3=870=l9;50?876n3=:70?i7;50?87a03=?70<;b;51?843k3=?709:c;57?85503=970997;52?874;3=970=l8;51?85d?3=:7p}7ec83>44|51l<69:l;<1f3?16349oo7:n;<321?2f34;8879;;<306?1634=>o79<;<112?133499979>;<113?1434;8?79>;<1`e?14349h479>;<314?1634;m479=;<07g?1634;8979=;<1`=?153ty3in4?:dy>=<=i01>k7:63896bc2=k01;01><9:63896402>801<=::63896ef2>801>m=:61896e>2>;01:6m:61894462>;01:;l:608yv>bl3:1iv37f8870f=:;l31;<523eg90d=:98=18l5277`934=:;;=1;<5277a934=:?52130934=::=o1;<5rs9db>5<482:?267<0:27:=4482:?;bf<082wx4kl50;1x946b2=;01<<<:5389=`d2=9h7p}7fe83>a}:0lo18=528dd905=:0o;18=528g0905=:0o918=528g6905=:0o<18=528g5905=:0o218=528g;905=:0o:18=528gg906e<51l>69>4}r:eb?6=:r78il4;3b9>75`=?81v4>?:18785bj3>8o63<0d87e>;49:0<<637fd845>{t19;1<715d349;j79=;|q:47<72;q6?hj542a8966a2>90q~7?3;296~;4ml0??n5231d931=z{0:?6=4={<1fb?24k278==481:p=53=838p1>h?:51`?85683=97p}60783>7}:;o;18>m4=233>2552z?0b7<3;j16?<>5759~w<6?2909w0=i3;60g>;4980<=6s|91;94?4|5:l?69=l;<125?153ty2h707?c;52?8>>j3=970669;51?8>>?3=970665;51?8>>l3=:70679;52?82cm3=;707>6;52?8>>;3=:70661;52?8>?n3=:7067d;52?8>?j3=:706nd;52?8>fj3=:706n9;52?8>f?3=:706n5;52?8>f;3=:706n1;52?8>>n3=:706nf;52?xu>8k0;6?u291c90==:19i18>m4}r;3`?6=:r72j:1818?7m3>8o6360g845>{t19l1<715d343::79=;|q:55<72;q65<85729>=47=<:i0q~7>5;29g~;?=80<>63753846>;?=:0<>63755846>;?=<0<>63756846>;?=10<>63758846>;?=h0<>6360g846>;?j:0??n528c6935=z{0;<6=4={<;23?24k272p14?k:51`?81?03=?707=1;51?8?5;3=97p}61d83>7}:18n1;95290d906e516y>2c7=?=16:hh5759><34=?=16=om5759>74d=?=164:85759><2>=?=164:75759><2d=?=164:j5759><2`=?=1645?5759><=5=?=16?74c=?=16??>5759>774=?=1645;5759><=1=?=164;:5759><30=?=165?=542a89<432>:0q~6m1;296~;?io0??n528c2935=z{>826=4={<513?24k27<>5480:p=76=838p14?j:51`?8?6n3=;7p}61383>7}:18:18>m4=832>2652z?:5=<3;j165<75719~w2>12909w0974;60g>;00<0<<6s|71094?4|5>:;69=l;<535?173ty<=:4?:3y>343=<:i01:?9:628yv16i3:1>v3819877f=:?831;=5rs63g>5<5s4=:n7:50;0x927b2=9h709>f;53?xu0::0;6?u2733906e<5>896:>4}r512?6=:r7<>94;3b9>373=?91v:>::181817;3>8o63805844>{t?921<715d34=;;79?;|q44g<72;q6;=7542a8926f2>:0q~9?e;296~;08j0??n5271f935=z{>;:6=4={<53b?24k27<==480:p342=838p1:?=:51`?816;3=;7psa1c4f>5<5sA?==6G;448242c=4}52tP=:7?t988~yk7e?;0;64?:0yK137>64}O=?;0E9:::0y1>x\1>3;p544r}o3a30<728qC9;?4I566>4}52tP=:7?t988~yk7e??0;6>64}O=?;0E9:::0y1>x\1>3;p544r}o3a3<<728qC9;?4}o3a3d<728qC9;?4}o3a3g<728qC9;?4}o3a3f<728qC9;?4}o3a3a<728qC9;?4}o3a3`<728qC9;?4}o3a3c<728qC9;?4}o3a<5<728qC9;?4}o3a<4<728qC9;?4}o3a<7<728qC9;?4}o3a<6<728qC9;?4}o3a<1<728qC9;?4}o3a<0<728qC9;?4}o3a<3<728qC9;?4}o3a<2<728qC9;?4}o3a<=<728qC9;?4}o3a<<<728qC9;?4}o3a4}52tP=:7?t988~yk7b9=0;6h697=:|m5`72290:wE;91:K700<6s;0vV;854z;:>2c=4}52tP=:7:t9884a?2d2=396psa1d34>5<6sA?==6G;448274}O=?;0E9:::0y1>x\1>3>p5448e;6`>1?52twe=h?6:182M3192C?884>{38~^30=>6x{i9l;i6=4>{I755>O3<<0:w?4rZ7490~?>2>o18n4;938~yk7b9j0;60;60;60;60;690;680;6;0;6:0;6=0;6<0;6?0;6>0;610;600;6h0;6k0;6j0;6m0;6l0;6o0;60;60;60;60;60;60;60;60;60;60;60;60;60;60;60;690;680;6;0;6:0;6=0;6<0;6?0;6>0;610;600;6h0;6k0;6j0;6m0;6l0;6o0;60;60;60;60;6h697=:|m5cd5290:wE;91:m5cd4290:wE;91:m5cd3290:wE;91:m5cd2290:wE;91:m5cd1290:wE;91:m5cd0290:wE;91:m5cd?290:wE;91:m5cd>290:wE;91:m5cdf290:wE;91:m5cde290:wE;91:m5cdd290:wE;91:m5cdc290:wE;91:m5cdb290:wE;91:m5cda290:wE;91:m5ce7290:wE;91:m5ce6290:wE;91:m5ce5290:wE;91:m5ce4290:wE;91:m5ce3290:wE;91:m5ce2290:wE;91:m5ce1290:wE;91:m5ce0290:wE;91:m5ce?290:wE;91:m5ce>290:wE;91:m5cef290:wE;91:m5cee290:wE;91:m5ced290:wE;91:m5cec290:wE;91:m5ceb290:wE;91:m5cea290:wE;91:m5cb7290:wE;91:m5cb6290:wE;91:m5cb5290:wE;91:m5cb4290:wE;91:m5cb3290:wE;91:m5cb2290:wE;91:m5cb1290:wE;91:m5cb0290:wE;91:m5cb?290:wE;91:m5cb>290:wE;91:m5cbf290:wE;91:m5cbe290:wE;91:m5cbd290:wE;91:m5cbc290:wE;91:m5cbb290:wE;91:m5cba290:wE;91:m5cc7290:wE;91:m5cc6290:wE;91:m5cc5290:wE;91:m5cc4290:wE;91:m5cc3290:wE;91:m5cc2290:wE;91:m5cc1290:wE;91:m5cc0290:wE;91:m5cc?290:wE;91:m5cc>290:wE;91:m5ccf290:wE;91:m5cce290:wE;91:m5ccd290:wE;91:m5ccc290:wE;91:m5ccb290:wE;91:m5cca290:wE;91:m5c`7290:wE;91:m5c`6290:wE;91:m5c`5290:wE;91:m5c`4290:wE;91:m5c`3290:wE;91:m5c`2290:wE;91:m5c`1290:wE;91:m5c`0290:wE;91:m5c`?290:wE;91:m5c`>290:wE;91:m5c`f290:wE;91:m5c`e290:wE;91:m5c`d290:wE;91:m5c`c290:wE;91:m5c`b290:wE;91:m5c`a290:wE;91:m6567290:wE;91:m6566290:wE;91:m6565290:wE;91:m6564290:wE;91:m6563290:wE;91:m6562290:wE;91:m6561290:wE;91:m6560290:wE;91:m656?290:wE;91:m656>290:wE;91:m656f290:wE;91:m656e290:wE;91:m656d290:wE;91:m656c290:wE;91:m656b290:wE;91:m656a290:wE;91:m6577290:wE;91:m6576290:wE;91:m6575290:wE;91:m6574290:wE;91:m6573290:wE;91:m6572290:wE;91:m6571290:wE;91:m6570290:wE;91:m657?290:wE;91:m657>290:wE;91:m657f290:wE;91:m657e290:wE;91:m657d290:wE;91:m657c290:wE;91:m657b290:wE;91:m657a290:wE;91:m6547290:wE;91:m6546290:wE;91:m6545290:wE;91:m6544290:wE;91:m6543290:wE;91:m6542290:wE;91:m6541290:wE;91:m6540290:wE;91:m654?290:wE;91:m654>290:wE;91:m654f290:wE;91:m654e290:wE;91:m654d290:wE;91:m654c290:wE;91:m654b290:wE;91:m654a290:wE;91:m6557290:wE;91:m6556290:wE;91:m6555290:wE;91:m6554290:wE;91:m6553290:wE;91:m6552290:wE;91:m6551290:wE;91:m6550290:wE;91:m655?290:wE;91:m655>290:wE;91:m655f290:wE;91:m655e290:wE;91:m655d290:wE;91:m655c290:wE;91:m655b290:wE;91:m655a290:wE;91:m6527290:wE;91:m6526290:wE;91:m6525290:wE;91:m6524290:wE;91:m6523290:wE;91:m6522290:wE;91:m6521290:wE;91:m6520290:wE;91:m652?290:wE;91:m652>290:wE;91:m652f290:wE;91:m652e290:wE;91:m652d290:wE;91:m652c290:wE;91:m652b290:wE;91:m652a290:wE;91:m6537290:wE;91:m6536290:wE;91:m6535290:wE;91:m6534290:wE;91:m6533290:wE;91:m6532290:wE;91:m6531290:wE;91:m6530290:wE;91:m653?290:wE;91:m653>290:wE;91:m653f290:wE;91:m653e290:wE;91:m653d290:wE;91:m653c290:wE;91:m653b290:wE;91:m653a290:wE;91:m6507290:wE;91:m6506290:wE;91:m6505290:wE;91:m6504290:wE;91:m6503290:wE;91:m6502290:wE;91:m6501290:wE;91:m6500290:wE;91:m650?290:wE;91:m650>290:wE;91:m650f290:wE;91:m650e290:wE;91:m650d290:wE;91:m650c290:wE;91:m650b290:wE;91:m650a290:wE;91:m6517290:wE;91:m6516290:wE;91:m6515290:wE;91:m6514290:wE;91:m6513290:wE;91:m6512290:wE;91:m6511290:wE;91:m6510290:wE;91:m651?290:wE;91:m651>290:wE;91:m651f290:wE;91:m651e290:wE;91:m651d290:wE;91:m651c290:wE;91:m651b290:wE;91:m651a290:wE;91:m65>7290:wE;91:m65>6290:wE;91:m65>5290:wE;91:m65>4290:wE;91:m65>3290:wE;91:m65>2290:wE;91:m65>1290:wE;91:m65>0290:wE;91:m65>?290:wE;91:m65>>290:wE;91:m65>f290:wE;91:m65>e290:wE;91:m65>d290:wE;91:m65>c290:wE;91:m65>b290:wE;91:m65>a290:wE;91:m65?7290:wE;91:m65?6290:wE;91:m65?5290:wE;91:m65?4290:wE;91:m65?3290:wE;91:m65?2290:wE;91:m65?1290:wE;91:m65?0290:wE;91:m65??290:wE;91:m65?>290:wE;91:m65?f290:wE;91:m65?e290:wE;91:m65?d290:wE;91:m65?c290:wE;91:m65?b290:wE;91:m65?a290:wE;91:m65g7290:wE;91:m65g6290:wE;91:m65g5290:wE;91:m65g4290:wE;91:m65g3290:wE;91:m65g2290:wE;91:m65g1290:wE;91:m65g0290:wE;91:m65g?290:wE;91:m65g>290:wE;91:m65gf290:wE;91:m65ge290:wE;91:m65gd290:wE;91:m65gc290:wE;91:m65gb290:wE;91:m65ga290:wE;91:m65d7290:wE;91:m65d6290:wE;91:m65d5290:wE;91:m65d4290:wE;91:m65d3290:wE;91:m65d2290:wE;91:m65d1290:wE;91:m65d0290:wE;91:m65d?290:wE;91:m65d>290:wE;91:m65df290:wE;91:m65de290:wE;91:m65dd290:wE;91:m65dc290:wE;91:m65db290:wE;91:m65da290:wE;91:m65e7290:wE;91:m65e6290:wE;91:m65e5290:wE;91:m65e4290:wE;91:m65e3290:wE;91:m65e2290:wE;91:m65e1290:wE;91:m65e0290:wE;91:m65e?290:wE;91:m65e>290:wE;91:m65ef290:wE;91:m65ee290:wE;91:m65ed290:wE;91:m65ec290:wE;91:m65eb290:wE;91:m65ea290:wE;91:m65b7290:wE;91:m65b6290:wE;91:m65b5290:wE;91:m65b4290:wE;91:m65b3290:wE;91:m65b2290:wE;91:m65b1290:wE;91:m65b0290:wE;91:m65b?290:wE;91:m65b>290:wE;91:m65bf290:wE;91:m65be290:wE;91:m65bd290:wE;91:m65bc290:wE;91:m65bb290:wE;91:m65ba290:wE;91:m65c7290:wE;91:m65c6290:wE;91:m65c5290:wE;91:m65c4290:wE;91:m65c3290:wE;91:m65c2290:wE;91:m65c1290:wE;91:m65c0290:wE;91:m65c?290:wE;91:m65c>290:wE;91:m65cf290:wE;91:m65ce290:wE;91:m65cd290:wE;91:m65cc290:wE;91:m65cb290:wE;91:m65ca290:wE;91:m65`7290:wE;91:m65`6290:wE;91:m65`5290:wE;91:m65`4290:wE;91:m65`3290:wE;91:m65`2290:wE;91:m65`1290:wE;91:m65`0290:wE;91:m65`?290:wE;91:m65`>290:wE;91:m65`f290:wE;91:m65`e290:wE;91:m65`d290:wE;91:m65`c290:wE;91:m5<2f290:wp`=0gg94?7|ug8;jk4?:0y~j77783:1=vsa2022>5<6std9==<50;3xyk468:0;6<>::182xh599<1<7?t}o0242<728qvb???8;295~{i:8:26=4>{|l155g=83;pqc<>0c83>4}zf;;;o7>51zm646c290:wp`=11g94?7|ug8:?1g5~DED|8tJK\vsO@ \ No newline at end of file diff --git a/main.ngr b/main.ngr index 37278f1..41e8ea2 100644 --- a/main.ngr +++ b/main.ngr @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$0a54=792;=7<>?012b?46789:;=>0123<>7788:;==74112244778?1:<=?>1892446688:;=>5>0;KMTPR=Lh~jM1750?33?46=G\^[YY4^<883:43<990DYY^ZT;Pfwpjs400;2<>4118LQQVR\3R757>111924?IR\Y__6T26:1<:?46=P531<3?>;029\Zgcl9:;<1750?37?46=PVkoh~{m01238<<7601:<7W39;2=54=683STmij?012?=?699=1:<7WPaefpqg6789626=0k;029ljtnr531<3m4118uawr;13:556??:y>:>58>38:1u1750?08541<98:;<=?n;033457799:37;2f87v428li{=><"50975>363?;0;557:E>4>58b310BB][[:E>4>5869221EC^ZT;KQCG:0294i754FRF@?3?69i221@HJ37;2=54=?2F__\XZ5LDF?3?69n221CXZ_UU8R82<768;047AZTQWW>WAE4>0;2557:P>4>58f310YKO28:1<`?=068GIL=<0MCJ;B048EKB3J;<0MCJ;B578Efere11IY^QFNGM2?F723J0$j}in/qmmc*kfag$}di?;;B8,buaf'yeek"xha/tk`7=DM:1H@F74CNONMQRBL>1H^HO[EE18Gim23Jf`Ic?4D59G85833M6:295K<3<7?A:46=1O090;;E>6:1=C4?4=7I28:1<7?A:06<1OmyoN8:FbpdG;87h0HlznA=33>58>3MkmL2>0?:8@drfI5;546Jnt`C?6;>Bf|hK7:364D`vbE91902NjxlO38?c8@drfI531<364D`vbE9?9:2LO?6HKE29E@W7NTi2BXSl`k01235d=O[Vkeh=>?0^kntZNTWhdo<=>?1e9KWZejxVm<=>?d:JP[fkwWe~;<=>>;H08M54159JVBD;87Uba}QFRF@?4;703@XLN1?18:KQCG:668;?7D\HB=3=[lkwW@XLN1?1169JVBD;:720E_IM<3<251=NZNH7>3Qfmq]JVBD;:7;<7D\HB=1=<>OUOK682037?LT@J5?5Sdc_HPDF9399>1B^JL36?:8MWAE4?4:=95FRF@?2;YneyUB^JL36?3:?LT@J5=1<394ISEA828?3@XLN1911068MWAE4>4Te`~PISEA8286m2ANI]QGIDPBVFN^:2FO:6BJD=2=2>JBL5;5:6BJD=0=2>JBL595:6BJD=6=2>JBL5?5:6BJD=4=<>JBL5=1<384LDF?3;1h0@]CPL@V@LZ>e3EZFSAO[CI]:b>KflmUSSljk01235c=JimnTURokd12344713Dida}o}_IQ\ghvXo}:;<=?>6:O`khvfzVBXSnc_mv345669?1Fobcas]UWZejxVm<=>?1048IfijxhxTZ^Qlmq]op56788;>7@m`mqcq[RYdeyUli=>?0036?HeheykySZQlmq]da56798;>7@m`mqcq[RYdeyUgx=>?0036?HeheykySZQlmq]op56798;27@m`mqcq[lhuokUha}Qhe123447>3Dida}o}_hlqcgYdeyUli=>?103:?HeheykySd`}gc]`iuYkm9:;<2:OpqgY^Whnoxl?01226>H7:2D:=6A:;NP1F47>59R87833X68295^<5<7?T:26=1Z0;09;P>4>5833X6<295^<9<5?T:>294?7\26>09Q2>T@J5:5:6\HB=3=2>T@J585:6\HB=1=2>T@J5>5:6\HB=7=2>T@J5<546\HB=594;0Tb{|f0>06;Sgpqir;<730^h}zlu>6:<=Umzgx1819:Pfwpjs4>427_k|umv?<;dUdd{>0XT^J3:T@G<=QOHNye{k}9:TJARYSQYO97[]n;WQ\ekb789::m6X\_`lg4567W`g{S[]Paof34566l2\XSnc_fv3456c3_YTo`~Plu23457<_j1\Snc_fg3456d3^Uha}Qhe1235f=PWjg{Saz?012`?RYdeyUgx=>?189T[kis89::=55X_omw4566W`g{SZQaou2344733Q6;295W<0<7?]:56=1S0>0;;Y>7:1=_4<4?7U29>59[82833Q632;5W<883:1=_404:h6VFLHL[)]BE(9$:,^ZZB!2-5%GTK@C=7U][LH@4?]USWNDO=h5WSU]JIUJBELD_MYG@N^ALJVRUI@D__<>4XRV\VQJXX[E[I_ZV7:ZPPZPDK:1SS==4X^30?]Y5;2RT?>5W_518\Z343QU=?6VP729[[=5?01>1:f=_Whno<=>?<2<`?]Yflm:;<=2;>b9[[dbc89:;080l;Y]b`a67896=2n5W_`fg45674>4h7UQnde2345:?6l1SSljk01238<<76j1SSljk01238<8a3QUjhi}zb1234969n2RTmij|uc2345:66o1SSljkst`3456;:7l0TRokdrwa45674:4m7UQndeqvf56785>5j6VPaefpqg67896>2k5W_`fgwpd789:7:3h4X^cg`vse89:;0:0i;Y]b`aurj9:;<161109[[dbc{|h;<=>39;2=b>^Ximnxyo>?01>::<=_Whdo<=>?199[[dhc89:;Sdc_Y]bja6789;=7Ujm_Hf24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf:1Sy74XrvDIjbbd=1R0=0;;X>2:1=^4;4?7T2<>59Z81833P6>295V<7<7?\:06=1R0509;X>:>5833P622>5V_118]Z743PU9?6WP329Z[15?01>7:f=^Whno<=>?<4<`?\Yflm:;<=29>b9Z[dbc89:;0:0l;X]b`a6789632h5V_`fg4567400;2n5V_`fg4567404m7TQndeqvf56785:5j6WPaefpqg67896:2k5V_`fgwpd789:7>3h4Y^cg`vse89:;0>0i;X]b`aurj9:;<1:1f:[\eabt}k:;<=2:>g9Z[dbc{|h;<=>36?d8]Zgclzi<=>?<6?0=:=54=^Whnoxl?012?=?69n2STmij|uc2345:>6<1jhik}7:alqkrbz01oeklthmm3>aumh~n~h5hrdcwawiumxj`;5gosky5==og{cq0=07;imqm:6611ccgu<3<;?miuas68255gosky818?3aeyew2:>99kkwo}4?437ea}i{>4:==og{cq050n;imqm:>29437ea}i{>::0=nf{mi46garf`?4;>oiznh78364iopdf93902ce~jl36?c8mkt`j5=1<364iopdf919l2ce~jlPaof3456582ce~jlPaof3456XadzTec|hb^cm`56788;;7d`}gc]`iuY`m9:;<<>4iopdfZejxVmn<=>>119jjwaeWjg{Sak?01224>oiznhTo`~Pld2344753`dykoQbuy2344:72>4:<6garf`\ip~789;7?3<9;hlqcgYj}q:;<<2<>^kntZoiznhTaxv?013?7;723ekoe:4ldqpf>jb{zeyi|{nl59nelh03yegSnbd9:rlhZekcld37}ac_fcwa==wgeUxoa|n;qmqpZgdk|g37}a}t^aood=wg{~Toaejn89skwrXlh~j56~`ru]deqc?3yeyxRaa79skwrXyk1{czPrdqvhq?69uawr;97=0zh|{<3<4?scu|595;6xjru>7:2=qm{~79394vdpw83803oyx1917:tfvq:?601}iz39;2=3>pbz}622k5yesv\ghvXmx:;<=??;wgqpZejxVoz<=>?1028r`tsWjg{Sh?012155=qm{~To`~Pep234556;2|n~yQlmq]fu5678Vcf|k5yesv\ghvXmx:;<>1028r`tsWjg{Sh?013155=qm{~To`~Pep234456;2|n~yQlmq]fu5679Vcf|>5yg`38s1=494?7u2>>59{87833q68295w<5<7?}:26=1s0;0;;y>4:1=414=7u26:1<7?}:>68:0tn=|242f)0+dx89986w30?68}979<2s7>3:4y=1=0>;<7>0u1;14:{?2;20u171}ABs7cbi4I:382V25288h6o<51211=dc==?82wc?=a;38j44e2?1/=?751138yV26288h6o<51211=dc==???7i?70;295?7|[=81=?m5b382764>il0>:?74vGf6>5<6280;w^:=:00`>g4=9:995lk5570:?!c>2090(o=5219a5=6=83;8644<7zN241<6s-h86k4ri5294?=h>:0;66aja;29?jed290/n84lb:la0?6<3fij6=4+b48`f>he<3;07bm6:18'f05<#j<0hn6`m4;18?je0290/n84lb:la0?2<3fi=6=4+b48`f>he<3?07bm::18'f05<#j<0hn6`m4;58?j?5290/n8461:la0?6<3f3;6=4+b48:5>he<3;07b6i:18'f0<>92di87<4;n:f>5<#j<02=6`m4;18?j>c290/n8461:la0?2<3f2h6=4+b48:5>he<3?07b6m:18'f0<>92di8784;n:b>5<#j<02=6`m4;58?g77;3:1=7>50z&f2?063A;;>6a90;29?xdb03:1?=46b0}c2l09o70;30>47=9=0ve9>50;9l26<722enj7>5;ngb>5<he<3:07bhj:18'f05<#j<0mj6`m4;08?j`d290/n84if:la0?5<3fli6=4+b48eb>he<3>07bhn:18'f05<#j<0mj6`m4;48?j`?290/n84if:la0?1<3fl<6=4+b48eb>he<3207bh9:18'f094nc694>=h9:<1<7*m5;303>he<3;07b?<5;29 g3=9:=0bo:52:9l562=83.i97?<7:la0?5<3f;8?7>5$c795611865`12094?"e=3;8;6`m4;78?j7493:1(o;51258jg2=>21d=>>50;&a1?74?2di8794;n31b?6=,k?1=>94nc69<>=h9;o1<7*m5;303>he<3307bml:18'f04;nab>5<#j<0hn6`m4;38?je>290/n84lb:la0?4<3fi36=4+b48`f>he<3907bm8:18'f05<#j<0hn6`m4;78?je2290/n84lb:la0?0<3fi?6=4+b48`f>he<3=07b7=:18'f0<>92di87>4;n;3>5<#j<02=6`m4;38?j>a290/n8461:la0?4<3f2n6=4+b48:5>he<3907b6k:18'f0<>92di87:4;n:`>5<#j<02=6`m4;78?j>e290/n8461:la0?0<3f2j6=4+b48:5>he<3=07d6::18'f04;h:2>5<#j<0386`m4;38?l1b290/n8474:la0?4<3`=i6=4+b48;0>he<3907d97:18'f05<#j<0386`m4;78?l15290/n8474:la0?0<3`he<3=07o??3;295?6=8r.n:78>;I336>i183:17pl>0783>4<729q/i;4j7:J247=hj80;66smeg83>1<729q/i;4:f:J247=K99?1>v*>9180a>h61809?6sf3b83>>o3=3:17dm<:188kg0=831vn;=50;694?6|,l<19k5G1108H4622;q/=4>53d9m5<7=:m1ve>m50;9j00<722ch?7>5;n`5>5<=;M331?4|,83;6>k4n0;2>726<729q/i;4:d:J247=K99?1>v*>9180a>h6180986sf3b83>>o3=3:17bl9:188ygea29086=4?{%g5>0b<@8:97A??5;0x 4?72:o0b<7>:368yl5d2900e9;50;9lf3<722wih=4?:283>5}#m?0>h6F>039O553=:r.:5=45<4290;w)k9:4f8L4653E;;976c5<>{el;0;6>4?:1y'a3<2l2B:90810>{n;j0;66g;5;29?jd12900qoj<:180>5<7s-o=68j4H021?I77=38p(<7?:2g8j4?62;>0qd=l:188m13=831dn;4?::a`1<72:0;6=u+e786`>N68;1G==;52z&2=5<4m2d:5<4=4:j7f<722c?97>5;n`5>5<=;M331?4|,83;6>k4n0;2>650;694?:1y'a3<2m2B:90811>{n;j0;66g0c<@8:97A??5;0x 4?72:o0b<7>:378yl5d2900e>h50;9j00<722ei:7>5;|`24<<72=0;6=u+e786a>N68;1G==;52z&2=5<4m2d:5<4=5:j7f<722c8j7>5;h66>5<5<3290;w)k9:4g8L4653E;;976c5<>ie>3:17pl>0c83>1<729q/i;4:e:J247=K99?1>v*>9180a>h6180996sf3b83>>o4n3:17d:::188kg0=831vn<>l:187>5<7s-o=68k4H021?I77=38p(<7?:2g8j4?62;?0qd=l:188m6`=831b884?::ma2?6=3th:5}#m?0>i6F>039O553=:r.:5=4>6=44oc494?=zj8:n6=4;:183!c12=;M331?4|,83;6>k4n0;2>7390811>{n;j0;66g0c<@8:97A??5;0x 4?72:o0b<7>:378yl5d2900e>h50;9j00<722ei:7>5;|`27<<72=0;6=u+e786a>N68;1G==;52z&2=5<4m2d:5<4=6:j7f<722c8j7>5;h66>5<5<3290;w)k9:4g8L4653E;;976c5<>ie>3:17pl>3c83>1<729q/i;4:e:J247=K99?1>v*>9180a>h61809:6sf3b83>>o4n3:17d:::188kg0=831vn<=l:187>5<7s-o=68k4H021?I77=38p(<7?:2g8j4?62;<0qd=l:188m6`=831b884?::ma2?6=3th:?i4?:583>5}#m?0>i6F>039O553=:r.:5=42wb?n4?::k0b?6=3`>>6=44oc494?=zj89n6=4;:183!c12=;M331?4|,83;6>k4n0;2>7090812>{n;j0;66g0c<@8:97A??5;0x 4?72:o0b<7>:348yl5d2900e>h50;9j00<722ei:7>5;|`204<72=0;6=u+e786a>N68;1G==;52z&2=5<4m2d:5<4=6:j7f<722c8j7>5;h66>5<5<3290;w)k9:4g8L4653E;;976c5<>ie>3:17pl>9683>a<729qG==;5dz&2<`<6=h1/=8l51868 4>?2=?0(<6::2c8 4>e2k<0(<69:518j4>6281/=595459m5=4=92.:4i4j5:l2<1<63-;2<7=j;o3:5?5a3t.n:7?67:X0f?7|j3wb8>4?::k70?6=3`o>6=44oc594?"e=3i:7cl;:898kg>=83.i97m>;o`7>==10coo50;&a1?e63gh?6;54oc`94?"e=3i:7cl;:498kge=83.i97m>;o`7>1=;o`7>5=i7>5c;294~J68<0iw)?7e;36e>"6=k0:595+19:900=#91?1?l5+19`9f3=#91<18>5a19395>"60m0n96`>8582?!7>839n7c?61;1a?x"b>3;>i6The<3207bl6:18'f05<#j<0h=6`m4;48?jde290/n84l1:la0?3<3fhh6=4+b48`5>he<3>07blk:18'f05<#j<0h=6`m4;08?je7290/n84l1:la0?7<3fi96=4+b48`5>he<3:07pl6d;2951<729qG==;57z&2<`<6=01/=5o54g9'5<0=92.:4n4k6:&2=0<73-;2<7=j;o3:5?423t.n:77k;[1a>7}f2j0ve8>50;&a1?3e3gh?6454i4194?"e=3?i7cl;:998m02=83.i97;m;o`7>2==83.i97;m;o`7>6=<=0=54oeg94?"e=3o;7cl;:398ka`=83.i97k?;o`7>4=k4n0;2>700d1565f5283>!d2268l4nc693>=n=<0;6)l::4`8jg2=>21b9;4?:%`6>0d1965f5683>!d2268l4nc697>=n=00;6)l::4`8jg2=:21b9l4?:%`6>0d1=65f5b83>!d226h>4nc69=>=hl10;6)l::d28jg2=021dh44?:%`6>`61;65`d`83>!d22l:0bo:56:9l`g<72-h>6h>4nc691>=hlj0;6)l::d28jg2=<21dhi4?:%`6>`61?65`dd83>!d22l:0bo:52:9l`c<72-h>6h>4nc695>=hm80;6)l::d28jg2=821vnll50;37>5<7sE;;979t$0:f>4?53-;3m7:i;%3:2?3<,82h6i84$0;6>5=#90:1?h5a183960=z,l<1mo5U3c81d<7>5$c791g=ij=0276g:3;29 g3==k1en947;:k60?6=,k?19o5ab584?>o2=3:1(o;55c9mf1<132c>:7>5$c791g=ij=0>76g:7;29 g3==k1en94;;:k6o213:1(o;55c9mf1<532c>m7>5$c791g=ij=0:76g:c;29 g3==k1en94?;:mg3?6=,k?1i=5ab58:?>ic03:1(o;5e19mf15$c79a5=ij=0<76aka;29 g3=m91en949;:mgf?6=,k?1i=5ab586?>ick3:1(o;5e19mf1<332eoh7>5$c79a5=ij=0876ake;29 g3=m91en94=;:mgb?6=,k?1i=5ab582?>ib93:1(o;5e19mf1<732wimn4?:0694?6|D8:>6:u+19g95<4<,82j69h4$0;5>==#91i1h;5+18794>"61908i6`>90812>{#m?0jo6The<3307d;<:18'f0<2j2di8764;h77>5<#j<0>n6`m4;58?l32290/n84:b:la0?0<3`?=6=4+b486f>he<3?07d;8:18'f0<2j2di87:4;h7;>5<#j<0>n6`m4;18?l3>290/n84:b:la0?4<3`?j6=4+b486f>he<3;07d;l:18'f0<2j2di87>4;nf4>5<#j<0n<6`m4;;8?jb?290/n84j0:la0?><3fn26=4+b48f4>he<3=07bjn:18'f05<#j<0n<6`m4;78?jbd290/n84j0:la0?2<3fno6=4+b48f4>he<3907bjj:18'f05<#j<0n<6`m4;38?jc6290/n84j0:la0?6<3th2j7>5c;294~J68<0>3:0(<6l:238 4>b28?h7)?7f;3:7>"61908i6`>9081g>{#m?02j6T;o`7>2=;o`7>6=50;a94?6|D8:>6:u+19c90c=#90<1=l5+19a976=#91o1=:k4$0:e>4?43-;2<7=j;o3:5?4d3t.n:7o?;[1a>4}6l3wb9=4?:%`6>071m65f5283>!d22<;0bo:59:9j11<72-h>68?4nc69<>=n=<0;6)l::438jg2=?21b9;4?:%`6>071:65f5683>!d22<;0bo:55:9j1=<72-h>68?4nc690>=n=00;6)l::438jg2=;21b9l4?:%`6>071>65f5b83>!d22<;0bo:51:9j17<72-h>68?4nc694>=h;:0;66sma283>f<729qG==;57z&253d9m5<7=:91v(h85a29Y7g<6s8n1qd;?:18'f0<292di87o4;h70>5<#j<0>=6`m4;;8?l33290/n84:1:la0?><3`?>6=4+b4865>he<3=07d;9:18'f0<292di8784;h74>5<#j<0>=6`m4;78?l3?290/n84:1:la0?2<3`?26=4+b4865>he<3907d;n:18'f0<292di87<4;h7`>5<#j<0>=6`m4;38?l35290/n84:1:la0?6<3f996=44}cc6>5f2=l0(<79:0`8 4>d2:90(<6j:05f?!7?n3;2?6*>9180a>h61809<6s+e78b1>\4j3;p=i4ri4294?"e=3?:7cl;:`98m05=83.i97;>;o`7><=1<7*m5;72?kd32110e8;50;&a1?363gh?6:54i4494?"e=3?:7cl;:798m01=83.i97;>;o`7>0=54i4c94?"e=3?:7cl;:398m0e=83.i97;>;o`7>4==50;9~fd2=83i1<7>tL026>2}#91k18k5+18496>"60j08>6*>8d821a=#91l1=4=4$0;3>6c4}%g5>d2o2;3:1(o;5509mf1<>32c>87>5$c7914=ij=0376g:5;29 g3==81en948;:k62?6=,k?19<5ab585?>o2?3:1(o;5509mf1<232c>47>5$c7914=ij=0?76g:9;29 g3==81en94<;:k6e?6=,k?19<5ab581?>o2k3:1(o;5509mf1<632c>>7>5$c7914=ij=0;76a<2;29?xdf>3:1o7>50zN240<0s-;3m7:i;%3:2?5<,82h6>=4$0:f>41b3-;3j7?63:&2=5<4m2d:5<4=0:'a32P8n7?t1e8~m06=83.i97;>;o`7>d=;o`7>3=;o`7>7=q/=5o54g9'5<0=82.:4n4<1:&2<`<6=j1/=5h51818 4?72:o0b<7>:208y!c12h;0V>l51z3g>xo283:1(o;5509mf1?7>5$c7914=ij=0276g:4;29 g3==81en947;:k61?6=,k?19<5ab584?>o2>3:1(o;5509mf1<132c>;7>5$c7914=ij=0>76g:8;29 g3==81en94;;:k6=?6=,k?19<5ab580?>o2i3:1(o;5509mf1<532c>o7>5$c7914=ij=0:76g:2;29 g3==81en94?;:m05?6=3thj>7>5c;294~J68<0>3;27)?7c;10?!7?m3;8g82=6=#90:1?h5a183977=z,l<1m?5U3c824b=u`?;6=4+b4865>he<3k07d;<:18'f0<292di8774;h77>5<#j<0>=6`m4;:8?l32290/n84:1:la0?1<3`?=6=4+b4865>he<3<07d;8:18'f0<292di87;4;h7;>5<#j<0>=6`m4;68?l3>290/n84:1:la0?5<3`?j6=4+b4865>he<3807d;l:18'f0<292di87?4;h71>5<#j<0>=6`m4;28?j542900qo?n7;29f?5=lr.n:7?n7:m2e6<722c:554?::k2=<<722c:5l4?::k2=g<722c:5n4?::k2=a<722c:5h4?::k2=c<722c:m=4?::k2e7<722h:m94?:483>5}#m?08;6F>039j2<<722c=m7>5;h4a>5<>{e9h?1<7;50;2x `0=;01C==<4i7;94?=n>h0;66g9b;29?l0d2900clh50;9~f4g1290>6=4?{%g5>6><@8:97d86:188m3g=831b:o4?::k5g?6=3fkm6=44}r3b7?6=:rT:m>521`49ec=z{8336=4={_3:<>;6i=0=m6s|18;94?4|V83270?n4;4:?xu61h0;6?uQ18c894g22?30q~?6b;296~X61k16=l:56c9~w4?d2909wS?6c:?2e0<1i2wx=4j50;0xZ4?c34;j978l;|q2=`<72;qU=4k4=0c6>3d52z\2=c=:9h<1:45rs0c3>5<5sW;j<63>a785e>{t9h81<7a583>7}:9h>1mk521`492g=z{8k>6=4={<3b1?ga34;j:78l;|a5de=83h1?7jt$d495de5<5<5<5<5<5<2290;w)k9:258L4653`<26=44i7c94?=n>k0;66g9c;29?jga2900qo?na;291?6=8r.n:7=6;I336>o113:17d8n:188m3d=831b:n4?::mbb?6=3th:mo4?:483>5}#m?0846F>039j2<<722c=m7>5;h4a>5<>{t9h21<75g83>7}Y9vP>619>5d?=>01v<8>:181[71927:ml49a:p534=838pR<8=;<3be?0e3ty::>4?:3y]535<58kj6;m4}r350?6=:rT::9521`;92d=z{8<>6=4={_351>;6ik0=56s|17494?4|V8<=70?nb;4b?xu6>>0;6?uQ175894g>2?h0q~?99;296~X6>016=l756b9~w4g>2909w0?n9;ce?87fj3a`83>7}:9hk1mk521``92f=zuk<>6=4<:183!c12:?0D<>=;h4:>5<>{e9;21<7;50;2x `0=;?1C==<4i7;94?=n>h0;66g9b;29?l0d2900clh50;9~f`e=8391<7>t$d4970=O9980e;750;9j2d<722ejj7>5;|`e1?6=:3:15;nce>5<=;h4:>5<>{e?=0;6>4?:1y'a3>o1i3:17boi:188yg1029086=4?{%g5>63<@8:97d86:188m3g=831dmk4?::a3d<72:0;6=u+e7801>N68;1b:44?::k5e?6=3fkm6=44}c5g>5<4290;w)k9:278L4653`<26=44i7c94?=hio0;66sm8183>6<729q/i;4m0:J247=n>00;66g9a;29?jga2900qo6<:180>5<7s-o=6o>4H021?l0>2900e;o50;9lec<722wi4:4?:283>5}#m?0i<6F>039j2<<722c=m7>5;nce>5<;6=4=2z\74>;bn39h708<:2a89fb=;j16oh4m4=e397f=:l;08o63k3;1`?8b32:i01ho53b9>551=;j16==653b9>55?=;j16==o53b9>55d=;j16==m53b9>55b=;j16==k53b9>55`=;j16=<>53b9>56?=;j16=>o53b9>56d=;j16=>m53b9>56b=;j16=>k53b9>56`=;j16=9>53b9>517=;j16=9<53b9>5<1=<:16=8k5429~w35=838pR;=4=719f3=z{ll1<7526485e>{t>?0;6?u29g805>;1=3<27p}i4;296~;bn3i870h::`d8yvce2909w0kn:b189`e=io1vk<50;0x9d3=;:16=?656`9~wc5=838p1l85329>57>=>j1v<<8:182a~;68>08j63>0980b>;68008j63>0`80b>;68k08j63>0b80b>;68m08j63>0d80b>;68o08j63>1180b>;6;008j63>3`80b>;6;k08j63>3b80b>;6;m08j63>3d80b>;6;o08j63>4180b>;6<808j63>4380b>;6:10jj63i5;4;?8162?301::5689>32<1i273g<51:1:4528285=>;??3<27p}>a283>7}:90=1i8521`595d553z?2=2<3<27:9h4j5:?2ef<6i11vhk50;0x9d4=;:16in49a:p21<72;q6:>4l3:?51?ga3tynh7>52z?b5?5634oh6;74}rd3>5<5s4k86><4=00;>3?64<58836;l4}r334?6=:rT:<=521029f3=#m=0mj6`j3;28yv`b2909wShj;<33b?d13-o?6kh4nd195>{tnm0;6?uQfe9>55c=j?1/i94if:lf7?4hb;3>0q~hn:181[`f34;;n7l9;%g7>c`7}Yn016==o5b79'a15<5sWl370??9;`5?!c32ol0bh=57:pb2<72;qUj:5211:9f3=#m=0mj6`j3;:8yv`12909wSh9;<333?d13-o?6kh4nd19=>{t9:21<727:8<4m6:&f0?74?2dn?7?4}r301?6=:rT:?8521529f3=#m=0:?:5ae281?xu6;=0;6?uQ1268945a2k<0(h:51258j`5=;2wx=>=50;0xZ45434;8i7l9;%g7>4503go8695rs011>5<5sW;8>63>3e8a2>"b<3;8;6`j3;78yv7493:1>vP>309>56e=j?1/i94>369ma6<13ty:?=4?:3y]566<589i6o84$d695612.n87?<7:lf7??52z\`e>;c;3h=7)k;:b`8j`5=92wxo44?:3y]g<=:l;0i:6*j4;aa?kc42;1vn650;0xZf><5m;1n;5+e58`f>hb;390q~m8:181[e034n;6o84$d69gg=im:0?7p}l6;296~Xd>27hj7l9;%g7>fd7}Yk<16oh4m6:&f0?ee3go86;5rsb694?4|Vj>01nj5b79'a15<69rT3m6P7b:\;g>X?l2T3i6P7f:\:4>X>:27:<>490:?:b?3534k;68<4=`1917=:i<0>>63n4;71?8g12<801l?5539>e7<2:2.n877>;og0>2=z{1?1<7h1/i9474:lf7?642?k0(h:5859ma6<63ty52z\4a>;?83<5>k1:45+e58;0>hb;3>0q~9::181[1234=<6;74$d69<1=im:0>7p}82;296~X0:27<878n;%g7>=27}Y>o16;<49a:&f0?>33go86:5rs04:>5<0s4;>i7m=;0e<50l19n52a186g>;f;3?h70o::4a894gd28<27)k;:04;?kc4291v<88:184872m3i;70om:4c89<`==h16m=4:a:?b7?3f34k>68o4=0c`>4003-o?6<87;og0>4=z{8<=6=48{<36a?da34ki6874=8d91<=:i90>563n3;7:?8g22<301e5<2027j?7;7;0><58kh6<8:;%g7>40?3go86>5rs047>5<0s4;>i7ll;01<50l19:52a1863>;f;3?<70o::45894gd286884=0c`>4043-o?6<87;og0>0=z{8<96=48{<36a?df34ki68;4=8d910=:i90>963n3;76?8g22014h5559>e5<2<27j?7;;;02<58kh6<8>;%g7>40?3go86:5rs043>5<0s4;>i7l7;05<50l19>52a1867>;f;3?870o::41894gd28<;7)k;:04;?kc4211v<;i:184872m3h<70om:4289<`==916m=4:0:?b7?3734k>68>4=0c`>43a3-o?6<87;og0><=z{8k96=48{<3:3?e534kh68m4=`691f=:i?0>o63n1;7`?8g52e3<2i27j=7;n;0g<58k<64g63go86<5rs0;e>5<0s4;2;7li;0?<5h>19452a786=>;f93?270o=:4;894g0283m7)k;:0c2?kc42;1v<7j:18487>?3ho70ol:4:89d2==116m;4:8:?b5?3?34k96864=0c4>4?b3-o?6;og0>6=z{83o6=48{<3:3?dd34kh6894=`6912=:i?0>;63n1;74?8g52<=01e3<2>27j=7;9;00<58k<6<7l;%g7>4g63go8685rs0;a>5<0s4;2;7ln;03<5h>19852a7861>;f93?>70o=:47894g0283i7)k;:0c2?kc42?1v<7n:18487>?3h270ol:4689d2===16m;4:4:?b5?3334k968:4=0c4>4?f3-o?6;og0>2=z{8326=48{<3:3?d?34kh68=4=`6916=:i?0>?63n1;70?8g52<901e3<2827j=7;?;06<58k<6<77;%g7>4g63go8645rs03a>5<5s4;:<7::;<;g>`7<,l>1={t9831<713<50n1hk5+e5825d=im:0:7p}>1983>7}:99o188529e8ga>"b<3;:m6`j3;08yv76?3:1>v3>0e871>;>l3no7)k;:03b?kc42:1v>707k:ea8 `2=98k0bh=54:p543=838p1<>m:57891`9ma6<23ty:=94?:3y>55g=<<165i4ka:&f0?76i2dn?784}r327?6=:r7:<44;5:?:`?b>3-o?62=z{8;96=4={<33hb;3:0q~?;b;296~;6<80?9636e;fe?!c328>h7ck<:09~w42f2909w0?;0;66?8?b2mo0(h:515a8j`5=:2wx=9750;0x945a2=?014k5de9'a1<64<;|q20=<72;q6=>k5449>=`52z?27a<3=272i7jm;%g7>42d3go8685rs065>5<5s4;8o7::;<;f>ag<,l>1=9m4nd192>{t9=?1<713<50o1h45+e5820f=im:0<7p}>4583>7}:9:k188529d8g<>"b<3;?o6`j3;:8yv73;3:1>v3>38871>;>m3n<7)k;:06`?kc4201v<<9:1818?c24?;|q261<72;q65i4:a:?bf?ba3-o?6<<:;og0>4=z{8886=4={<;g>0?<5hh1hh5+e58260=im:097p}>2383>7}:1m0>463nb;fg?!c3288>7ck<:29~w4462909w07k:4589dd=lj1/i94>249ma6<33ty:>=4?:3y>=a<2>27jn7jm;%g7>4423go8685rs03e>5<5s43o68;4=``9`d=#m=0:>85ae285?xu69l0;6?u29e860>;fj3n27)k;:006?kc42>1v47;|q25f<72;q65i4:0:?bf?b03-o?6<<:;og0><=z{8?36=4={<;f>0e<5hi1i<5+e58212=im:0;7p}>5783>7}:1l0>m63nc;fe?!c328?<7ck<:09~w4322909w07j:4;89de=ll1/i94>569ma6<53ty:994?:3y>=`<2027jo7jk;%g7>4303go86>5rs070>5<5s43n6894=`a9`f=#m=0:9:5ae287?xu6=;0;6?u29d862>;fk3ni7)k;:074?kc42<1v<;>:1818?b21ei>49;|q215<72;q65h4:4:?bg?b>3-o?6<;8;og0>2=z{8>m6=4={<;f>05<5hi1h55+e58212=im:037p}>4d83>7}:1l0><63nc;f4?!c328?<7ck<:89~wdc=83>p1<>9:c389``=<<16:>4;5:?fe?223ty<<7>52z?``?2234=:6lh4}r50>5<5s4in69;4=669ec=z{><1<70jj6s|7883>7}:l90?9638a;ce?xu0k3:1>v3k1;66?81c2hl0q~9i:1818b52=?015>5ag9~w=4=838p1i=5449><6`1<3=273;7oi;|a53g=83;:654>1zN241<6sA237pB>0484k7>939h7)?7e;34`>"6010?96*>8c8a2>"6000=>6`>8282?!7>839o7p*j6;;7?_5e2;q9n7<9:|Xf6?4|:m0997sf6383>>ien3:1(o;5bd9mf1<732eih7>5$c79f`=ij=0:76amc;29 g3=jl1en94=;:maf?6=,k?1nh5ab580?>iei3:1(o;5bd9mf1<332ei57>5$c79f`=ij=0>76am8;29 g3=jl1en949;:ma3?6=,k?1nh5ab584?>o3m3:1(o;54e9mf1<732c?o7>5$c790a=ij=0:76g;b;29 g3=o313:1(o;54e9mf1<332c?47>5$c790a=ij=0>76g;7;29 g3=d729086<4<{M330?7|@120qA??5;7x 4>b28=o7)?78;66?!7?j3h=7)?79;41?k7?;3;0q)k9:878m13=831dn;4?::k56?6=3k:1<7=50;2x `0=001C==<4L027>4}#>m0;7pg;5;29?l072900co850;9~w13=838pR9;4=1871>{tj?0;6?uQb79>4?d13ty=>7>52z\56>;72?:0qpl>:180>4<4sE;;87?tH9:8yI77=3?p(<6j:05g?!7?03>>7)?7b;`5?!7?13<97c?73;38y!c120<0e9;50;9lf3<722c=>7>5;c294?5=83:p(h85889K5547}Yj?16<7l9;|q56?6=:rT=>63?:728yxd6m3:1?7?53zN241<6sA237pB>0486!7?m3;89871>"60k0i:6*>88856>h60:0:7p*j6;;4?l222900co850;9j27<722h;6=4<:183!c12130D<>=;M330?7|,?n1<6sf4483>>o183:17bl9:188yv222909wS::;<2900=z{k<1<72wx:?4?:3y]27=:83<;7psm1g83>6<62:qG==:51zJ;<>{K99?19v*>8d823a=#9121885+19`9f3=#9131:?5a19195>{#m?0246g;5;29?jd12900e;<50;9a4?6=;3:1{%4g>5=za=?1<75f6183>>ie>3:17p};5;296~X3=27;69;4}r`5>5<5sWh=70>5b79~w34=838pR;<4=1854>{zj;;1<7=51;1xH46328qC455rL026>0}#91o1=:j4$0:;>13<,82i6o84$0::>345}#m?0356F>039O552=9r.=h7>4}h66>5<>{t<<0;6?uQ449>4?223tyi:7>52z\a2>;72k<0q~8=:181[0534:1:=5r}c01>5<42808wA??4;3xL=>41c3-;347::;%3;f?d13-;3578=;o3;7?7t$d49<<=O9980@<>;:0y'2a<73tc?97>5;h43>5<vP92:?3>3653;397~J68=0:wE67;|N240<2s-;3i7?8d:&2<=<3=2.:4o4m6:&2<<<1:2d:4>4>;|&f2??e3`>>6=44oc494?=n>;0;66l?:180>5<7s-o=6574H021?I77<3;p(;j50:j00<722c=<7>5;n`5>5<>6=4={_66?86=<<1vo850;0xZg0<590i:6s|6383>7}Y>;16<78?;|a61<72:0:6>uC11695~N?02wG==;55z&2<`<6?m1/=565449'5=d=j?1/=575639m5=5=92w/i;46c:k71?6=3fh=6=44i7094?=e83:1?7>50z&f2?>>3A;;>6B>0582!0c291ve9;50;9j25<722ei:7>5;|q71?6=:rT?963?:578yvd12909wSl9;<29f3=z{?81<763>:70894c=>;16=k492:?15?0534896;<4=31927=::=0=>6s|bg83>7}Yjo16>94m6:&f0?db3go86=5rscf94?4|Vkn01?=5b79'a15<5sWhh70<=:c48 `2=jl1ei>4=;|qaf?6=:rTin63=1;`5?!c32ko0bh=53:pfd<72;qUnl521g8a2>"b<3hn7ck<:59~wg?=838pRo74=0g9f3=#m=0ii6`j3;78yvd?2909wSl7;<39f3=#m=0ii6`j3;48yvd02909wSl8;<29f3=#m=0ii6`j3;58yv2b2909wS:j;<07>13<,l>18i5ae283?xu3k3:1>vP;c:?17?223-o?69j4nd195>{t67<3=2.n87:k;og0>7=z{=k1<726=4={_6:?87a2=?0(h:54e9ma6<33ty?47>52z\7<>;6m3>>7)k;:5f8j`5==2wx8:4?:3y]02=:93>>7)k;:5f8j`5=>2wx8;4?:3y]03=:83>>7)k;:5f8j`5=?2wvnl950;a94?6|D8:>6:u+19c90c=#90<1;6*>8b806>"60l0:9i5+19d95<5<,83;6>j4n0;2>6d<7>5$c7914=ij=0j76g:3;29 g3==81en946;:k60?6=,k?19<5ab58;?>o2=3:1(o;5509mf1<032c>:7>5$c7914=ij=0=76g:7;29 g3==81en94:;:k6o213:1(o;5509mf1<432c>m7>5$c7914=ij=0976g:c;29 g3==81en94>;:k66?6=,k?19<5ab583?>i4:3:17pln9;29g?6=8rF:<848{%3;e?2a3-;2:774$0:`>62<,82n6<9i;%3;b?7>;2.:5=450;&a1?363gh?6l54i4194?"e=3?:7cl;:898m02=83.i97;>;o`7>==10e8850;&a1?363gh?6;54i4594?"e=3?:7cl;:498m0>=83.i97;>;o`7>1=;o`7>5=1<75rb`:94?e=83:p@<>::6y'5=g=a28387)?60;1g?k7>939i7p*j6;c;?_5e28q:h7sf5183>!d22<;0bo:5a:9j16<72-h>68?4nc69=>=n==0;6)l::438jg2=021b984?:%`6>071;65f5783>!d22<;0bo:56:9j12<72-h>68?4nc691>=n=10;6)l::438jg2=<21b944?:%`6>071?65f5`83>!d22<;0bo:52:9j1f<72-h>68?4nc695>=n=;0;6)l::438jg2=821d??4?::aed<72j0;6=uC11793~"60h0?j6*>9787?!7?k39?7)?7e;34b>"60o0:5>5+18297a=i90;1?o5r$d49ed=];k0:w5<#j<0>=6`m4;c8?l34290/n84:1:la0??<3`??6=4+b4865>he<3207d;::18'f0<292di8794;h75>5<#j<0>=6`m4;48?l30290/n84:1:la0?3<3`?36=4+b4865>he<3>07d;6:18'f0<292di87=4;h7b>5<#j<0>=6`m4;08?l3d290/n84:1:la0?7<3`?96=4+b4865>he<3:07b=;:188yg70k3:1>7>50z&f2?0b3A;;>6g98;29?jga2900qo?85;291?6=8r.n:7=9;I336>o113:17d8n:188m3d=831b:n4?::mbb?6=3ty?<7>52z\74>;b03>;7p}93;296~X1;27n478<;|qfe?6=:rTnm63j8;gb?xu6il0;6?u2e98fb>;6>h0=>6s|16:94?4|5h31?95216792d=z{8=26=4={62<58=>6;m4}r342?6=:r7j;7==;<341?0>3ty:;:4?:3y>e=<4:27:;849b:p522=83=p1<8n:5g8940f2=i01<8n:5`8940f2==01<8n:548941d2?201<9::`d8yvgc290>w0??3;43?8g02<801l75539>e=<2:27jm7;=;|q`g?6=:rTho63j8;a`?!c32jh0bh=50:pgd<72;qUol52e98`e>"b<3ii7ck<:09~wf?=838pRn74=d:9g<=#m=0hn6`j3;08yve?2909wSm7;f><,l>1oo5ae280?xud?3:1>vPl7:?f{tk?0;6?uQc79>a=2.n87mm;og0>0=z{j?1<7=k<1/i94lb:lf7?00(h:5cc9ma6<03ty2>7>52z\:6>;b03397)k;:838j`5=82wx5=4?:3y]=5=:m102<6*j4;;2?kc4281v5h50;0xZ=`<5l214k5+e58:5>hb;380q~6j:181[>b34o365k4$d69=4=im:087p}7d;296~X?l27n476k;%g7><77}Y0j16i547c:&f0??63go8685rs9`94?4|V1h01h658c9'a1<>92dn?784}r:b>5<5sW2j70k7:9c8 `2=181ei>48;|q23g<72=q6=;o54`9>53g=<116=;o5489>52e=io1/i94>7`9ma6<43ty:n44?:2y>a=<68916m:4:c:?b=?3d3-o?65=z{8h<6=4<{cc<5h=19l52a886e>"b<3;i46`j3;38yv7e>3:1?v3j8;dg?8g02<301l75589'a1<6j11ei>4=;|q2f0<72:q6i54ic:?b3?3?34k26864$d695g>;f13?<7)k;:0`;?kc42=1ve<<2>2.n87?m8:lf7?37>53z?f34k<68;4=`;910=#m=0:n55ae285?xu6j80;6>u2e98e<>;f?3??70o6:468 `2=9k20bh=57:p5g6=839p1h65f69>e2<2;27j57;<;%g7>4d?3go8655rs0ce>5<4s4o36k84=`5915=:i00><6*j4;3a<>hb;330q~?l5;297~;b03;8463n8;7`?8gf2=9:<01l655`9>ed<2i2.n87?l4:lf7?77>53z?f0?<,l>1=n:4nd196>{t9j;1<7=t=d:9562<5h219552a`86<>"b<3;h86`j3;18yv7d83:1?v3j8;307>;f03?<70on:458 `2=9j>0bh=54:p5g`=839p1h6512089d>==?16ml4:6:&f0?7d<2dn?7;4}r3aa?6=;r7n47?<1:?b>4=`:911=:ih0>86*j4;3`0>hb;3=0q~?mc;297~;b03;9j63n8;70?8gf2<90(h:51b68j`5=02wx=ol50;1x9`>=9;o01l65519>ed<282.n87?l4:lf7??52z?f234;=m7li;%g7>4153go86=5rs052>5<5s4o365?4=04b>gb<,l>1=:<4nd195>{t9>:1<76g83>7}:m106`8af>"b<3;<>6`j3;18yv71m3:1>v3j8;5;?871i3hj7)k;:051?kc42=1v<8k:1818c?2>?01<8n:c;8 `2=9>80bh=55:p53e=838p1h65739>53g=j11/i94>739ma6<13ty::o4?:3y>a=<1n27::l4m7:&f0?70:2dn?794}|~yEFDs:lo68l;103`exFGJr:vLM^t}AB \ No newline at end of file +$7334=7j2:;<=>?00224g=789:;==>?01385d=689:;<=>>079245668?1:<=>>1992457789;j7<>?1123556?38:;==>>0`92457788;:013355669:1:<<:411331>7799:j7<>>0123456138::==?>3:33>LHW]]0OmyoN<883:46<990DYY^ZT;S?=?699<1:<7AZTQWW>Wct}e~757>111924?IR\Y__6U26:1<24>772F__\XZ5Y=;94;?<990S044?>03855<_Whno<=>?<883:42<990SSljkst`3456;13:556??:X>:>58692;;6TQnde2345:>294:86??:X]b`aurj9:;<1750?f855b924?pbz}626=06;029|9?=8730==4v<883:7=69>1:==>?00c8546788::<=;4102353=699::<45>1023447612;:==>>112:?47688::==841032457338;1EC^ZT;C`gpk;990;2<:4108JJUSS2MkmL2>0;2=51=693CE\XZ5D`vbF977294:=6?>:NWWTPR=Y5;;6=0>6:32>JSSX\^1^h}zlu>24?69j2;:6nv31183:g=693ir0<>50?36?47=`yoySljk0123846=87;>750?36?47=`yoyS~{m0123846=87;>750?`8543:32>ivX{|h;<=>31183:4g<980{~h}lamgq[dbc89:;0<>50?3b?47=x{oxolbjr^cg`56795;;6=0>a:32>utb{jkgiQnde2347:683:5=l5>1;rqavefdlxTmij?011?55<768k0=<4rdq`eicuWzi<=>?<0294;7f38;1|k|c`nfvZurj9:;=1??:1<2e>762yxnnoces]pqg678;6:<7>11`925?vumzij`h|Pst`3455;990;2o5>1;ps846=87;8711592=?OIX\^1HlznA=3;>586>2;26B[[PTV9V`urd}6:47>11392=?vumzij`h|31983:4b<900{~h}lamgq[dbc{|h;<=>31983:4g<900{~h}lamgq[hs89:;0<650?3b?4?=x{oxolbjr^ov|567:5:1=50>;337?76=AGZ^X7Jnt`C?5<<768:0>84GPDPJKA:5<3:5=95=5;KMTPR=Lh~jM1<;:1<20>422@D[YY4KaucA872=87;=7?;5IORVP?NWM[CDH1<;:1<22>422F__\XZ5Rdqvhq:5<3:5h6<::irfv943294:96<::irfvZkrp9:;<1>525<26>422yxnnoces>10?699h1997~}erabh`tXimn;<=>32583:4g<:<0{~h}lamgq[hs89:;0?:50?387a=4{;?;in~>33/65>263<;0:<<46;KMTPR=Lh~jM1;50?34?3?1=794;703?0FmijPcez\jjr7898797>11695>HgclViouR``t123493=87;?7;4@UURVP?Tb{|f084?>`95>fb4<0;2<;46;ag|Zgclzi<=>?<483:44<>3iotRczx123493=87;97;4ldy]nq}67886>6=0>2:49ga~Xe|r;<=<35;2=57=12jnsS~{m012380<76880:7mkx^qvf56795?1<3o46;ag}93=87;97;4ldx]nq}67896>6=0>2:49gaX{|h;<=>35;2=5>1?310O0:4?>d9;>LHW]]0O0:4?>038;98LQQVR\3FNH1950?d8>=G\^[YY4]GC>4>58?310Z0:4?>`9;>WAE4>0;2n57:hlqcg:0294:8665iopdfZkrp9:;81>57?18EBD33HMNN>5NLC68EICE<2KEH?84AOF1F40GIL=H9:6OAD5@72>GILGIL1?0Mnmzm99Bgfsj494i7Lmlul>24?6912Khoxc311<;?Ded}d6:255Ncbwn878?3Hihy`2<>99Bgfsj4=437Lmlul>6:==Fkjf0;07;@a`qh:0611Jon{b<9<;?Ded}d62255MUR]JJCI63J;>7N4 fqeb+uiio&gjec yhe3;?F<(nymj#}aag.qsadmbz'|ch<:4C;-etbg(xfdl#{in.wjg6>EB;2IGG45LOLOJPQCC?2IYILZJD29@hn3B;>7<0H1950?68@919=2NjxlO7;EcweD:76k1OmyoN<0294;?89GeqgF48;556Jnt`C?578>3MkmL2>3?;8@drfI5;?245KaucB843912NjxlO317<:?AgsiH6:;3l4D`vbE97?29427Io{a@>2<;dBf|hK7>94?>89GeqgF4;>546Jnt`C?6;>720HlznA=5=<>Bf|hK743o4D`vbE9?=8720HlznA=;=1>Bf|hH37Io{aC>3:g=Ci}kI0<>50?;8@drfJ5;;245KaucA847912NjxlL313<:?AgsiK6:?374D`vbF973601OmyoM<07==>Bf|hH7=;06;EcweG:6?730HlznB=3;:<=Ci}kI0<718:FbpdD;9730HlznB=03:<=Ci}kI0??19:FbpdD;:;427Io{aC>17;d546Jnt`@?1;>Bf|hH753<4FE18BAC43ONY86HKRD78BWGJMm1M^LCJ_omw4566:91M^LCJ_omw4566W`g{SK\NMD]mkq6788;:7J=4GOF7?Bgsmh1C\H\FOE>3:g=OXLXBCI2>0?`8LUCUAFN7=<0m;IRFVLIC4885n6F_ESKL@9746k1C\H\FOE>20;d<@YOYEBJ3141b:JSAWOHL58:2o5GPDPJKA:5:7h0D]K]INF?668c3AZN^DAK<3694;d<@YOYEBJ3254j7E^JRHMG8=8f3AZN^DAK<8<1?MUf3AYTmcj?0122e>NTWhdo<=>?_hos[MUXign;<=>>d:JP[fkwWn~;<=>k;IQ\ghvXd}:;<=?4I39J47=N9;1B>?5F339J07=N=;1B:?5F759JJLR43@D];6G]GC>3:2=NZNH7=394ISEA87803@XLN1=17:KQCG:36>1B^JL35?58MWAE4?427D\HB=594;1JWEVFJXNFP7c9OTHYKI]ICS5l4LQO\HDRD@V3m7@okd^Z\eab789::j6Cnde]Z[dbc89:;=<:4M`fg[fbWhnoxl?012256=JimnToivPnnv3456;878h7@okd^ag|Zhh|9:;<1>1_hos[HgclViotR``t12349699890Aljk_bf{[kis89:;0<0=c:Ob`aYdlqUecy>?01>2:ZojxVGjhiQldy]mkq67896:2?0=0=6f=JimnToivPnnv3456;:7Uba}QBaef\ga~Xff~;<=>32?327>KflmUhhuQaou2345:46;i0Aljk_bf{[kis89:;0>0Pilr\IdbcWjnsSca{012386869:1FmijPcez\jjr789:783?0=6=[lkwWDkohRmkx^llp56785>5=<;4M`fg[fbWge<=>?<483:45^kntZKflmUhhuQaou2345:268;87@okd^ag|Zhh|9:;=1>12b9NeabXkmrTbbz?013?4;YneyUFmijPcez\jjr789;7<3?>3:Ob`aYdlqUecy>?00>2:7e><0<256=JimnToivPnnv3457;:78h7@okd^ag|Zhh|9:;=1<1_hos[HgclViotR``t12359499890Aljk_bf{[kis89::0>0=c:Ob`aYdlqUecy>?00>0:ZojxVGjhiQldy]mkq6788682?1=6=6f=JimnToivPnnv3457;<7Uba}QBaef\ga~Xff~;<=?34?321>KflmUhhuQaou2344:2294:?6Cnde]``}Yig}:;<<2:>3a8IdbcWjnsSca{0122808XadzTAljk_bf{[kis89::080>129NeabXkmrTbbz?010?4;4d3DkohRmkx^llp567:5:5Sdc_Lcg`ZecpVddx=>?2=2=545>^kntZKflmUhhuQaou2347:668;87@okd^ag|Zhh|9:;>1<12b9NeabXkmrTbbz?010?6;YneyUFmijPcez\jjr78987>3?>3:Ob`aYdlqUecy>?03>0:7e=<2<256=JimnToivPnnv3454;<78h7@okd^ag|Zhh|9:;>1:1_hos[HgclViotR``t123692998?0Aljk_bf{[kis89:9084?>018IdbcWjnsSca{01218085k2GjhiQldy]mkq678;6>2Rgbp^Ob`aYdlqUecy>?03>6:4763DkohRmkx^qvf56788;:7@okd^ag|Zurj9:;=?0=2=6f=JimnToiwPnnv3456;87Uba}QBaef\gaXff~;<=>30?327>KflmUhhtQaou2345:66;i0Aljk_bfz[kis89:;0<0Pilr\IdbcWjnrSca{012384869:1FmijPce{\jjr789:7>3?0=0=[lkwWDkohRmky^llp5678585=<=4M`fg[fb~Wge<=>?<2<1g>KflmUhhtQaou2345:46Vcf|RCnde]``|Yig}:;<=2<>030?HgclViouR``t1234929:j1FmijPce{\jjr789:783Qfmq]NeabXkmsTbbz?012?0;76=2GjhiQldx]mkq67896>6=0>3:Ob`aYdlpUecy>?01>6:7e?<4<254=JimnToiwPst`345669;1FmijPhqgq[dbc89:;=<<4M`fg[mvbzVkoh=>?1034?HgclVzyi~mnldp\eab789::=:5Baef\twctkhfn~Rokd12354703DkohR~}erabh`tXimn;<=<>169NeabXx{oxolbjr^cg`567;8;j7@okd^rqavefdlxTmij|uc234576>2Ghc`~nr^JP[fkwWn~;<=>>179Ngjkwi{UC_Rmbp^nw456798<0Anabp`p\RVYdeyUlx=>?0035?HeheykyS[]Pclr\hq6789;:96ClolrbvZQXkdzTkh>?01321>Kdgdzj~RYPclr\c`6788;:96ClolrbvZQXkdzT`y>?01321>Kdgdzj~RYPclr\hq6788;:56ClolrbvZoiznhTo`~Pgd23457612Ghc`~nr^kmvbdXkdzTkh>?0032=>Kdgdzj~Rgarf`\ghvXol:;9:O`khvfzVce~jlPclr\c`678:;:56ClolrbvZoiznhTo`~Pgd23417612Ghc`~nr^kmvbdXkdzTkh>?0432=>Kdgdzj~Rgarf`\ghvXol:;<;?>9:O`khvfzVce~jlPclr\c`678>;:56ClolrbvZoiznhTo`~Pld23457612Ghc`~nr^kmvbdXkdzT`h>?0032=>Kdgdzj~Rgarf`\ghvXdl:;9:O`khvfzVce~jlPclr\h`678:;:56ClolrbvZoiznhTo`~Pld23417612Ghc`~nr^kmvbdXkdzT`h>?0432=>Kdgdzj~Rgarf`\ghvXdl:;<;?>9:O`khvfzVce~jlPclr\h`678>;::6ClolrbvZjwWjg{Sjk?012253=Jkfg{mQcp^antZab89::=<84MbmntdtXdyUha}Qht12344713Dida}o}_mr\ghvXdl:;<=?>d:O`khvfzVzyi~mnldp\ghvXol:;<=?>d:O`khvfzVzyi~mnldp\ghvXol:;<d:O`khvfzVzyi~mnldp\ghvXol:;d:O`khvfzVzyi~mnldp\ghvXol:;<>?>d:O`khvfzVzyi~mnldp\ghvXol:;<9?>d:O`khvfzVzyi~mnldp\ghvXol:;<8?>d:O`khvfzVzyi~mnldp\ghvXdl:;<=?>d:O`khvfzVzyi~mnldp\ghvXdl:;<d:O`khvfzVzyi~mnldp\ghvXdl:;d:O`khvfzVzyi~mnldp\ghvXdl:;<>?>d:O`khvfzVzyi~mnldp\ghvXdl:;<9?>d:O`khvfzVzyi~mnldp\ghvXdl:;<8?>6:O`khvfzVx{Snc_fg345669?1Fobcas]qtZejxVm<=>?1048IfijxhxT~}Qlmq]oa56788;=7@m`mqcq[wvXkdzT`y>?01323>Kdgdzj~R}`n^antZab89:;=<94MbmntdtX{fdTo`~Pgu234576?2Ghc`~nr^qljZejxVm<=>>1058IfijxhxTb`Pclr\cq678;;:;6ClolrbvZuhfVif|Ri{01205417:O`khvfzVydbRmbp^ew456298=0Anabp`p\wjhXkdzT`y>?01323>Kdgdzj~R}`n^antZjs89::=<94MbmntdtX{fdTo`~Plu234776?2Ghc`~nr^qljZejxVf<=><1058IfijxhxTb`Pclr\hq678=;:;6ClolrbvZuhfVif|Rb{01265418:O`khvfzVydyyQlmq]da56788;97@}zb^Z\eabt}k:;<=?>2:OpqgY^Whnoxl?012257=J{|hTd}k}_rwa45679880A~{m_irfvZurj9:;=?1058IvseWyxnnoces]pqg6789;:;6C|uc]sv`udieoyS~{m01225417:OpqgYwzlyhmak}_rwa456498:0A~{m_sr\wpd789::>6@?2:L26>H5:2D8=6A<;NP11>IU:K;87B\;1:R;?U(6=qlT@95_ASV0?UOIi2ZBBRLZSHF[f>VNFVH^_COBE59SHD_?3YFJURgav048TIG^W`d}Sdc_QNB]Zoi~8>0\B[[1:S7?T:76>1Z0<>50?78U9776=1Z0<0;;P>1:1=V4:4?7\2;>59R80833X6=2;5^<683:1=V4>4?7\27>79R8<<76=1Z040>;S48VBD;87<0^JL31?48VBD;:7<0^JL33?48VBD;<7<0^JL35?48VBD;>720^JL37;2=2>T@J5=596\HB^26?WAEW8?0^JLP249QCGY4=2XLNR::;SEA[03TSD?1Yi~{ct89Qavsk|5:5o6\jstnw846=87k0^h}zlu>24;g1?c8V`urd}6:>3o4Rdqvhq:6;7k0^h}zlu>20;g5?c8V`urd}6::3o4Rdqvhq:6?7i0^h}zlu>2Tb{|f0<719:Pfwpjs484j7_k|umv?658f3[oxyaz320?0n;Sgpqir;::4h7_k|umv?61<76h1Yi~{ct=07:<=Umzgx1<19:Pfwpjs4:427_k|umv?0;dTb{|f050m;Sgpqir;13:556\jstnw8<8e3ZCLNX]PIODLe>UNOVH^_DJWb:QJCZDR[GKFI95\cmpg?VvbiboyHgyes68P\VB>2_{Hbya3:T@G<=QOHNye{k}9:TJARYSQYO97[]n;WQ\ekb789::m6X\_`lg4567W`g{S[]Paof34566l2\XSnc_fv3456c3_YTo`~Plu23457<_j1\Snc_fg3456d3^Uha}Qhe1235f=PWjg{Saz?012`?RYdeyUgx=>?189T[kis89::=55X_omw4566W`g{SZQaou2344733Q6;295W<0<7?]:56=1S0>0;;Y>7:1=_4<4?7U29>59[82833Q632;5W<883:1=_404:h6VFLHL[)]BE(9$:,^ZZB!2-5%GTK@C=7U][LH@4?]USWNDO=h5WSU]JIUJBELD_MYG@N^ALJVRUI@D__<>4XRV\VQJXX[E[I_ZV7:ZPPZPDK:1SS==4X^30?]Y5;2RT?>5W_518\Z343QU=?6VP729[[=5?01>1:f=_Whno<=>?<2<`?]Yflm:;<=2;>b9[[dbc89:;080l;Y]b`a67896=2n5W_`fg45674>4h7UQnde2345:?6l1SSljk01238<<76j1SSljk01238<8a3QUjhi}zb1234969n2RTmij|uc2345:66o1SSljkst`3456;:7l0TRokdrwa45674:4m7UQndeqvf56785>5j6VPaefpqg67896>2k5W_`fgwpd789:7:3h4X^cg`vse89:;0:0i;Y]b`aurj9:;<161109[[dbc{|h;<=>39;2=b>^Ximnxyo>?01>::<=_Whdo<=>?199[[dhc89:;Sdc_Y]bja6789;=7Ujm_Hf24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf:1Sy74XrvDIjbbd=1R0=0;;X>2:1=^4;4?7T2<>59Z81833P6>295V<7<7?\:06=1R0509;X>:>5833P622>5V_118]Z743PU9?6WP329Z[15?01>7:f=^Whno<=>?<4<`?\Yflm:;<=29>b9Z[dbc89:;0:0l;X]b`a6789632h5V_`fg4567400;2n5V_`fg4567404m7TQndeqvf56785:5j6WPaefpqg67896:2k5V_`fgwpd789:7>3h4Y^cg`vse89:;0>0i;X]b`aurj9:;<1:1f:[\eabt}k:;<=2:>g9Z[dbc{|h;<=>36?d8]Zgclzi<=>?<6?0=:=54=^Whnoxl?012?=?69n2STmij|uc2345:>6k1jon{bumcwkw33:3=dlq6:2;5ldy>1:3=dlq682;5ldy>7:==dlq6>6=09;bf{80823jnsS=;4cez\50=dlqU996mkx^16?fbW=?0oivP5038ga~Ximnxyo>?01>3:47038ga~Ximnxyo>?01>7:45119``}Yj}q:;<=2?>0324>ecpVg~t=>?0=2=64`4cez\ip~789:7=3?>119``}Yj}q:;<=2>>33e?fbWds<=>?<0<057=dlqUfyu>?01>2:Zojxl1hhuQbuy2345:56o1hhuQbuy2345:568;;7njw_lw{45674;4:=<>4cez\ip~789:7>3<>f:ag|Zkrp9:;<1<13008ga~Xe|r;<=>32?]jiuc?=;bf{[hs89:;0>0Pilrf?fbWds<=>?<5?<5<255=dlqUfyu>?01>7:47682iotRczx1234929:8l0oivPmtz3456;<79:>6mkx^ov|56785>5Sdc119``}Yj}q:;<=2::1?<4?<4<255=dlqUfyu>?01>6:47682iotRczx1234939:8l0oivPmtz3456;=79:>6mkx^ov|56785?5Sdce:ag|Zkrp9:;=1>1f:ag|Zkrp9:;=1>11028ga~Xe|r;<=?30?3255=dlqUfyu>?00>3:77a3jnsS`{w012285849;1hhuQbuy2344:76Vcf|h5ldy]nq}67886:2k5ldy]nq}67886:21028ga~Xe|r;<=?31?02b>ecpVg~t=>?1=3=744231?fbWds<=>><3<\mhvb3jnsS`{w0122868a3jnsS`{w01228686991hhuQbuy2344:468;:<6mkx^ov|5679595>2:ag|Zkrp9:;=1=1_hosa>ecpVg~t=>?1=6=b>ecpVg~t=>?1=6=546ecpVg~t=>?1=7=b>ecpVg~t=>?1=7=5462Rgbpd9``}Yj}q:;g9``}Yj}q:;033?fbWds<=>=<1<25460:ag|Zkrp9:;>1?11033?fbWds<=>=<0<15c=dlqUfyu>?03>2:6753jnsS`{w0121848Xadzn7njw_lw{45654;4m7njw_lw{45654;4:==5ldy]nq}678;6920:ag|Zkrp9:;>1<120d8ga~Xe|r;<=<32?126>ecpVg~t=>?2=0=[lkwm2iotRczx1236959n2iotRczx123695998:0oivPmtz3454;;7;:==5ldy]nq}678;682??i;bf{[hs89:90>0<139``}Yj}q:;^knt`=dlqUfyu>?03>7:c=dlqUfyu>?03>7:4773jnsS`{w0121818698:0oivPmtz3454;<78:j6mkx^ov|567:5>5?<<4cez\ip~7898783Qfmq33?fbWds<=>=<483:`=dlqUfyu>?03>6:c=dlqUfyu>?03>6:4773jnsS`{w0121808698:0oivPmtz3454;=78:j6mkx^ov|567:5?5?<<4cez\ip~7898793Qfmqg8ga~X{|h;<=>30?g8ga~X{|h;<=>31?g8ga~X{|h;<=>32?g8ga~X{|h;<=>33?g8ga~X{|h;<=>34?33?fbWzi<=>?<483:`=dlqUxyo>?01>6:`=dlqUxyo>?00>3:`=dlqUxyo>?00>2:`=dlqUxyo>?00>1:`=dlqUxyo>?00>0:`=dlqUxyo>?00>7:462iou1>16:ag}979>2iou1<16:ag}959>2iou1:18:ag}93=87<0oiw35?78gaX8<1hhtQ>5:ag}Z423jnrS>;4ce{\00=dlpU>i6mky^ov|56785:5j6mky^ov|56785:5=<>4ce{\ip~789:7<3?>119``|Yj}q:;<=2?>33e?fb~Wds<=>?<1<057=dlpUfyu>?01>3:Zojxl1hhtQbuy2345:66o1hhtQbuy2345:668;;7njv_lw{4567484:=<>4ce{\ip~789:7=3<>f:ag}Zkrp9:;<1?13008gaXe|r;<=>31?]jiuc?=;bfz[hs89:;0?0Pilrf?fb~Wds<=>?<2?<2<255=dlpUfyu>?01>0:47682iouRczx1234959:8l0oiwPmtz3456;;79:>6mky^ov|5678595Sdce:ag}Zkrp9:;<1:1f:ag}Zkrp9:;<1:11028gaXe|r;<=>34?3255=dlpUfyu>?01>7:77a3jnrS`{w012381849;1hhtQbuy2345:36Vcf|<>4ce{\ip~789:797>1e:ag}Zkrp9:;<1;1f:ag}Zkrp9:;<1;11028gaXe|r;<=>35?3255=dlpUfyu>?01>6:77a3jnrS`{w012380849;1hhtQbuy2345:26Vcf|l5ldx]lv56788k0oiwPos2345YneyUhhtQ`r12344c1hcx`{es18g}723jr7<364cy>24?69>2is0<>15:a{84823jr7>3;4cy>0:0=dp5>596mw<4<6?f~;>7?0ou28>49`|9>9=2is040<;b{21>e~49437nw31183:3=dq5;;285ly=3=1>e~4;4>7nw33?78g|:36<1hu1;15:az83823js7;3;4cx>;:0=dq53556jfrdawmjh33oigg:5hrdcwawc<`fxbv1:18:jlvl|;=720db|fz=4=<>nhz`p7;364hnpj~9>9i2bd~dt39;2=<>nhz`p75364hqgq847902b{i2>2?c8lucu4;>1<394hqgq[4703azn~R?=f:jsawYflm:;<=2?>008lucuWhno<=>?<0294;773azn~Rokd12349776o1c|h|Paef3456;97l0d}k}_`fg45674;4m7e~jr^cg`5678595j6fes]b`a67896?2k5gpdp\eab789:793h4hqgq[dbc89:;0;0i;irfvZgcl9:;<191f:jsawYflm:;<=27>g9kt`tXimn;<=>39?d8lucuWhno<=>><1<26>nwm{Ujhi>?00>24?69991c|h|Paef3457;994m7e~jr^cg`56795;5j6fes]b`a6788692k5gpdp\eab789;7?3h4hqgq[dbc89::090i;irfvZgcl9:;=1;1f:jsawYflm:;<<29>g9kt`tXimn;<=?37?d8lucuWhno<=>><9?1=;=g>nwm{Ujbi>?013f?mvbzVkeh=>?0^kntZnwm{Ujbi>?013`?mvbzVkeh=>?10g8lucuWhdo<=>>_hos[mvbzVkeh=>?1031?mvbzVg~t=>?0=29618682b{iQbuy2345:6:78=7e~jr^ov|56785;92Rgbp^jsawYj}q:;<=2>2?3`?mvbzVddx=>?10g8lucuWge<=>>_hos[mvbzVddx=>?10d8lucuWzi<=>?<1<26>nwm{Uxyo>?01>24?69991c|h|Pst`3456;994m7e~jr^qvf56785;5j6fes]pqg6789692k5gpdp\wpd789:7?3h4hqgq[vse89:;090i;irfvZurj9:;<1;1f:jsawYt}k:;<=29>g9kt`tX{|h;<=>37?d8lucuWzi<=>?<9?0=;=b>nwm{Uxyo>?00>3:44<`yoyS~{m0122846=87;;7e~jr^qvf56795;;2k5gpdp\wpd789;7=3h4hqgq[vse89::0?0i;irfvZurj9:;=1=1f:jsawYt}k:;<<2;>g9kt`tX{|h;<=?35?d8lucuWzi<=>><7?1=5=b>nwm{Uxyo>?00>;:c=oxlxTxl?013?=;3>99jjwae4;437d`}gc>0:==nf{mi0907;hlqcg:2611bbim<7=5fnsea[dhc89:9Sdc_hlqcgYffm:;Qfmq]jjwaeWhdo<=><1e9jjwaeWhdo<=>;219jjwaeWhdo<=>;_hos[lhuokUjbi>?05324>oiznhTo`~Pgd2345773`dykoQlmq]da56798:0ec|hb^antZab89:9==5fnsea[fkwWno;<==>0:kmvbdXkdzTkh>?0533?lhuokUha}Qhe123146?1028mkt`jVif|Rbj012155=nf{miSnc_mg3455682ce~jlPclr\h`678=;;7d`}gc]`iuYkm9:;9<>4iopdfZejxVfn<=>9119jjwaeWjg{Sak?01526>oiznhTaxv?016?4?19991bbim_lw{4563494:=6garf`\ip~789>7<3?>2:kmvbdXe|r;<=:30?3257=nf{miS`{w012785859880ec|hb^ov|567<5:5?5326>oiznhTaxv?016?4;36981bbim_lw{4563494==95fnsea[hs89:?0=0Pilr24>oiznhTaxv?016?5;763`dykoQbuy2341:668;97d`}gc]nq}678=6:22:kmvbdXe|r;<=:31?0257=nf{miS`{w012784849880ec|hb^ov|567<5;58>4325>oiznhTaxv?016?5;06<2ce~jlPmtz3452;97Uba}??;hlqcgYj}q:;<92=>038mkt`jVg~t=>?4=0=5442:kmvbdXe|r;<=:32?1257=nf{miS`{w012787839880ec|hb^ov|567<5859;hlqcgYj}q:;<92=>737?lhuokUfyu>?05>1:Zojx8:0ec|hb^ov|567<595=<5fnsea[hs89:?0>0>139jjwaeWds<=>;<2<2544?>2:kmvbdXe|r;<=:33?6257=nf{miS`{w0127868298;0ec|hb^ov|567<595:<:4iopdfZkrp9:;81=1_hos55=nf{miS`{w0127818692ce~jlPmtz3452;<7;:>6garf`\ip~789>783?>139jjwaeWds<=>;<5<15442:kmvbdXe|r;<=:34?7254=nf{miS`{w012781819=1bbim_lw{45634=4Te`~>0:kmvbdXe|r;<=:35?32?lhuokUfyu>?05>6:4753`dykoQbuy2341:268;:>6garf`\ip~789>793<>139jjwaeWds<=>;<4<054428?>1:kmvbdXe|r;<=:35?420>oiznhTaxv?016?1;Yney;;7d`}gc]nq}678=6=2?4=4=54753`dykoQbuy2341:16;;:>6garf`\ip~789>7:3=>139jjwaeWds<=>;<7<7544^knt464:=?5fnsea[hs89:?0:0>1008mkt`jVg~t=>?4=5=64753`dykoQbuy2341:06:;:>6garf`\ip~789>7;3:>139jjwaeWds<=>;<6<65478>0ec|hb^ov|567<5=5Sdcc:kmvbdXg{:;<=?j;hlqcgYhz9:;3:==kx5;;6=09;mr?55823ez7=3;4lq>1:0=kx59596b<5<6?iv;=7?0`}29>49ot919=2f{050:;mr?=;g?013b?ivXign;<=>Pilr\huYffm:;<=?k;mr\ghvXol:;<=j4lq]`iuY`m9:;=i5cp^antZas89:;h6b_bos[ic789:j7a~Pnnv34546i2f{Sca{0121[lkwWezTbbz?0102e>jwWge<=><1`9otZhh|9:;?Rgbp^ns[kis89:8=45cp^mq4567911g|Ra}0123[lkwWezTc>?013g?ivX{|h;<=>30?33?ivX{|h;<=>31183:`=kxVy~n=>?0=33:a=kxVy~n=>?0=3=`>jwWzi<=>?<333?f8huYt}k:;<=2;>e9otZurj9:;<1;1d:ns[vse89:;0;0k;mr\wpd789:7;3j4lq]pqg6789632i5cp^qvf567853586cnio58tjjXkea27}ac_bnhak>3yeyxR}lls`8tjtsW|zocz`l;qpfwfgkm{6;2i5rdq`eicu48:5h6~}erabh`t;984o7}|jsbcoaw:6:7n0|k|c`nfv9746m1{~h}lamgq8429l2zyi~mnldp?508c3yxnnoces>22;bvumzij`h|31?f8twctkhfn~1e9sv`udieoy0??1d:rqavefdlx7>?0k;qpfwfgkm{69?3h4psgpgdjbz58?6=0k;qpfwfgkm{6983m4psgpgdjbz585o6~}erabh`t;;7i0|k|c`nfv929k2zyi~mnldp?1;evumzij`h|37?a8twctkhfn~161c:rqavefdlx753l4psgpgdjbzV:i7}|jsbcoawY6k2zyi~mnldp\55evumzij`h|P13a8twctkhfn~R?o6~}erabh`tX9?i0|k|c`nfvZ70k2zyi~mnldp\5=evumzij`h|P2b9sv`udieoyS?>l;qpfwfgkm{U9=n5rdq`eicuW;8h7}|jsbcoawY5;j1{~h}lamgq[72e3yxnnoces]0f>vumzij`h|P4c9sv`udieoyS8l4psgpgdjbzVvumzij`h|Paef3456;994:96~}erabh`tXimn;<=>310<21>vumzij`h|Paef3456;9;4:96~}erabh`tXimn;<=>312<21>vumzij`h|Paef3456;9=4:96~}erabh`tXimn;<=>314<21>vumzij`h|Paef3456;9?4:96~}erabh`tXimn;<=>316<21>vumzij`h|Paef3456;914:96~}erabh`tXimn;<=>318<20>vumzij`h|Paef3456;97;>7}|jsbcoawYflm:;<=2=0?36?utb{jkgiQnde2345:597;>7}|jsbcoawYflm:;<=2=2?36?utb{jkgiQnde2345:5;7;<7}|jsbcoawYflm:;<=2=4;2=50=wzlyhmak}_`fg45674;>5=95rdq`eicuWhno<=>?<3<20>vumzij`h|Paef3456;;7;?7}|jsbcoawYflm:;<=2;>068twctkhfn~Rokd12349399=1{~h}lamgq[dbc89:;0;0>4:rqavefdlxTmij?012?3;733yxnnoces]b`a6789632<:4psgpgdjbzVkoh=>?0=;=51=wzlyhmak}_`fg4566494:;6~}erabh`tXimn;<=?31183:43?1=3=51=wzlyhmak}_`fg45664;4:86~}erabh`tXimn;<=?33?37?utb{jkgiQnde2344:368>0|k|c`nfvZgcl9:;=1;1159sv`udieoySljk01228386<2zyi~mnldp\eab789;7;3?;;qpfwfgkm{Ujhi>?00>;:42=<1<23>vumzij`h|Paef3454;990;2<;4psgpgdjbzVkoh=>?2=33:42=<3<20>vumzij`h|Paef3454;;7;?7}|jsbcoawYflm:;068twctkhfn~Rokd12369399=1{~h}lamgq[dbc89:90;0>4:rqavefdlxTmij?010?3;733yxnnoces]b`a678;632<:4psgpgdjbzVkoh=>?2=;=51=wzlyhmak}_`fg4564494:;6~}erabh`tXimn;<==31183:43?3=3=51=wzlyhmak}_`fg45644;4:86~}erabh`tXimn;<==33?37?utb{jkgiQnde2346:368>0|k|c`nfvZgcl9:;?1;1159sv`udieoySljk01208386<2zyi~mnldp\eab78997;3?;;qpfwfgkm{Ujhi>?02>;:420:8twctkhfn~Rokdrwa456748;5=55rdq`eicuWhnoxl?012?578602zyi~mnldp\eabt}k:;<=2>3?3;?utb{jkgiQndeqvf56785;?2<64psgpgdjbzVkoh~{m01238439911{~h}lamgq[dbc{|h;<=>317<2<>vumzij`h|Paefpqg67896:;3?n;qpfwfgkm{Ujhi}zb123497?294:46~}erabh`tXimnxyo>?01>2<;703yxnnoces]b`aurj9:;<1?1169sv`udieoySljkst`3456;:7;<7}|jsbcoawYflmy~n=>?0=1=52=wzlyhmak}_`fgwpd789:783?8;qpfwfgkm{Ujhi}zb12349399>1{~h}lamgq[dbc{|h;<=>36?34?utb{jkgiQndeqvf56785=5=:5rdq`eicuWhnoxl?012?<;703yxnnoces]b`aurj9:;<171109sv`udieoySl`k01236==wzlyhmak}_`lg4567W`g{S}|jsbcoawYffm:;<=?>1:rqavefdlxTmcj?0131<>vumzij`h|Paof3457XadzT|k|c`nfvZgil9:;=vumzij`h|Pclr\c`678:;?7}|jsbcoawYdeyUli=>?4068twctkhfn~Rmbp^ef45629=1{~h}lamgq[fkwWeo;<=>>4:rqavefdlxTo`~Pld2344733yxnnoces]`iuYkm9:;><:4psgpgdjbzVif|Rbj012051=wzlyhmak}_bos[ic789>:86~}erabh`tXkdzT`h>?0437?utb{jkgiQbuy2345:76;o0|k|c`nfvZkrp9:;<1>1_hos[utb{jkgiQbuy2345:768;>7}|jsbcoawYj}q:;<=2>0?13?utb{jkgiQbuy2345:687Uba}Qrdq`eicuWds<=>?<02=543>4psgpgdjbzVg~t=>?0=32:ZojxVzyi~mnldp\ip~789:7=<0>149sv`udieoyS`{w01238449;91{~h}lamgq[hs89:;0<<1_hos[utb{jkgiQbuy2345:6:7;:96~}erabh`tXe|r;<=>312<04>vumzij`h|Pmtz3456;9:4Te`~PpsgpgdjbzVg~t=>?0=30:4723yxnnoces]nq}67896:83=?;qpfwfgkm{Ufyu>?01>20;YneyU{~h}lamgq[hs89:;0<:11078twctkhfn~Rczx12349726::0|k|c`nfvZkrp9:;<1?:>^kntZvumzij`h|Pmtz3456;9<4:=85rdq`eicuWds<=>?<04=75=wzlyhmak}_lw{456748<5Sdc_qpfwfgkm{Ufyu>?01>22;76=2zyi~mnldp\ip~789:7=:0<0:rqavefdlxTaxv?012?528XadzT|k|c`nfvZkrp9:;<1?8>034?utb{jkgiQbuy2345:603:5=85rdq`eicuWds<=>?<0:=75=wzlyhmak}_lw{45674825Sdc_qpfwfgkm{Ufyu>?01>2<;76=2zyi~mnldp\ip~789:7=40<0:rqavefdlxTaxv?012?5<8XadzT|k|c`nfvZkrp9:;<1?6>037?utb{jkgiQbuy2345:66;o0|k|c`nfvZkrp9:;<1?1_hos[utb{jkgiQbuy2345:668;>7}|jsbcoawYj}q:;<=2=0?13?utb{jkgiQbuy2345:587Uba}Qrdq`eicuWds<=>?<32=543>4psgpgdjbzVg~t=>?0=02:ZojxVzyi~mnldp\ip~789:7><0>149sv`udieoyS`{w01238749;91{~h}lamgq[hs89:;0?<1_hos[utb{jkgiQbuy2345:5:7;:96~}erabh`tXe|r;<=>322<04>vumzij`h|Pmtz3456;::4Te`~PpsgpgdjbzVg~t=>?0=00:4703yxnnoces]nq}67896987>1149sv`udieoyS`{w01238729;91{~h}lamgq[hs89:;0?:1_hos[utb{jkgiQbuy2345:5<7;:86~}erabh`tXe|r;<=>32?0f?utb{jkgiQbuy2345:56Vcf|R~}erabh`tXe|r;<=>32?320>vumzij`h|Pmtz3456;;78n7}|jsbcoawYj}q:;<=2<>^kntZvumzij`h|Pmtz3456;;7;:86~}erabh`tXe|r;<=>34?0f?utb{jkgiQbuy2345:36Vcf|R~}erabh`tXe|r;<=>34?320>vumzij`h|Pmtz3456;=78n7}|jsbcoawYj}q:;<=2:>^kntZvumzij`h|Pmtz3456;=7;:86~}erabh`tXe|r;<=>36?0f?utb{jkgiQbuy2345:16Vcf|R~}erabh`tXe|r;<=>36?320>vumzij`h|Pmtz3456;?78n7}|jsbcoawYj}q:;<=28>^kntZvumzij`h|Pmtz3456;?7;:86~}erabh`tXe|r;<=>38?0f?utb{jkgiQbuy2345:?6Vcf|R~}erabh`tXe|r;<=>38?320>vumzij`h|Pmtz3456;178n7}|jsbcoawYj}q:;<=26>^kntZvumzij`h|Pmtz3456;17;:;6~}erabh`tXe|r;<=<30;3;:42h5rdq`eicuWds<=>=<1<\mhvXx{oxolbjr^ov|567:5:5=<;4psgpgdjbzVg~t=>?2=33:661?321>vumzij`h|Pmtz3454;9;48<6~}erabh`tXe|r;<=<313<\mhvXx{oxolbjr^ov|567:5;92?03>27;573yxnnoces]nq}678;6:?3Qfmq]sv`udieoyS`{w0121845998?0|k|c`nfvZkrp9:;>1?;>228twctkhfn~Rczx12369736Vcf|R~}erabh`tXe|r;<=<315<250=wzlyhmak}_lw{456548?5?=5rdq`eicuWds<=>=<07=[lkwWyxnnoces]nq}678;6:93?>5:rqavefdlxTaxv?010?538482zyi~mnldp\ip~78987=;0Pilr\twctkhfn~Rczx123697168;>7}|jsbcoawYj}q:;7?13?utb{jkgiQbuy2347:6?7Uba}Qrdq`eicuWds<=>=<05=543>4psgpgdjbzVg~t=>?2=3;:ZojxVzyi~mnldp\ip~78987=50>159sv`udieoyS`{w01218485m2zyi~mnldp\ip~78987=3Qfmq]sv`udieoyS`{w012184869=1{~h}lamgq[hs89:90?0=e:rqavefdlxTaxv?010?6;YneyU{~h}lamgq[hs89:90?0>159sv`udieoyS`{w01218685m2zyi~mnldp\ip~78987?3Qfmq]sv`udieoyS`{w012186869=1{~h}lamgq[hs89:9090=e:rqavefdlxTaxv?010?0;YneyU{~h}lamgq[hs89:9090>159sv`udieoyS`{w01218085m2zyi~mnldp\ip~7898793Qfmq]sv`udieoyS`{w012180869=1{~h}lamgq[hs89:90;0=e:rqavefdlxTaxv?010?2;YneyU{~h}lamgq[hs89:90;0>159sv`udieoyS`{w01218285m2zyi~mnldp\ip~78987;3Qfmq]sv`udieoyS`{w012182869=1{~h}lamgq[hs89:9050=e:rqavefdlxTaxv?010?<;YneyU{~h}lamgq[hs89:9050>159sv`udieoyS`{w01218<85m2zyi~mnldp\ip~7898753Qfmq]sv`udieoyS`{w01218<86981{~h}lamgq[kis89::>55rdq`eicuWge<=>>_hos[utb{jkgiQaou23447682zyi~mnldp\kw67898=7}|jsbcoawYhz9:;?01320>vumzij`h|Pst`3456;87;<7}|jsbcoawYt}k:;<=2>0;2=50=wzlyhmak}_rwa456748:5=95rdq`eicuWzi<=>?<0<20>vumzij`h|Pst`3456;:7;?7}|jsbcoawYt}k:;<=2<>068twctkhfn~R}zb12349299=1{~h}lamgq[vse89:;080>4:rqavefdlxTxl?012?2;733yxnnoces]pqg67896<2<:4psgpgdjbzVy~n=>?0=:=51=wzlyhmak}_rwa4567404:86~}erabh`tX{|h;<=?30?34?utb{jkgiQ|uc2344:683:5=85rdq`eicuWzi<=>><02=51=wzlyhmak}_rwa4566484:86~}erabh`tX{|h;<=?32?37?utb{jkgiQ|uc2344:468>0|k|c`nfvZurj9:;=1:1159sv`udieoyS~{m01228086<2zyi~mnldp\wpd789;7:3?;;qpfwfgkm{Uxyo>?00>4:42><8<20>vumzij`h|Pst`3454;87;<7}|jsbcoawYt}k:;0;2=50=wzlyhmak}_rwa456548:5=95rdq`eicuWzi<=>=<0<20>vumzij`h|Pst`3454;:7;?7}|jsbcoawYt}k:;068twctkhfn~R}zb12369299=1{~h}lamgq[vse89:9080>4:rqavefdlxTxl?010?2;733yxnnoces]pqg678;6<2<:4psgpgdjbzVy~n=>?2=:=51=wzlyhmak}_rwa4565404:86~}erabh`tX{|h;<==30?34?utb{jkgiQ|uc2346:683:5=85rdq`eicuWzi<=><<02=51=wzlyhmak}_rwa4564484:86~}erabh`tX{|h;<==32?37?utb{jkgiQ|uc2346:468>0|k|c`nfvZurj9:;?1:1159sv`udieoyS~{m01208086<2zyi~mnldp\wpd78997:3?;;qpfwfgkm{Uxyo>?02>4:42<<8<0?wv6=2x{0=07;sr?55<76?1y|1??>49qt979=2x{0?0:;sr?7;3tw4?4>7~37?78vu:?6<1y|171a:ps[dhc89:;=l5}p^cm`5678Vcf|R|_`lg45679m1y|Rmbp^ef4567l2x{Snc_fv3456c3{zTo`~Pld2345b?20c8vuYig}:;3{zTc>?013;?wvXg{:;<=Qfmq]qtZiu89:;=i5}p^qvf56785:5==5}p^qvf56785;;6=0j;sr\wpd789:7==0k;sr\wpd789:7=3j4rq]pqg6789692i5}p^qvf5678595h6|_rwa45674=4o7~Pst`3456;=7n0~}Q|uc2345:16m1y|R}zb1234919l2x{S~{m01238=8c3{zTxl?012?=;>?1b9pkkYffm:;<=Qfmq]pkkYffm:;<=?m;rmm[dhc89::o6}`n^cm`56798i0b`Paof34575k2ydbRoad12356`<{fdTmcj?013\mhvb3zeeSnc_fg3456b3zeeSnc_fv3456b3zeeSnc_fv3457b3zeeSnc_fv3454b3zeeSnc_fv3455b3zeeSnc_fv3452b3zeeSnc_fv3453b3zeeSnc_mv3456b3zeeSnc_mv3457b3zeeSnc_mv3454b3zeeSnc_mv3455b3zeeSnc_mv3452b3zeeSnc_mv3453b3zeeSnc_mv3450e3zeeS`{w0123g>uhfVg~t=>?00a8wjhXe|r;<=>=f:qljZkrp9:;?003b?viiWfx;<=?Pilr\wjhXg{:;<l;rmvpZhh|9:;=pfd|oTc}l:;wgqp41<~lx0=08;wgqp979?2|n~y2=>69uawr;;7=0zh|{<5<4?scu|5?5;6xjru>5:2=qm{~7;394vdpw8=8>3oyx1750?58r`ts404m7{k}t^antZcv89:;==5yesv\ghvXmx:;<=?>0:tfvqYdeyUn}=>?0333?scu|Vif|Rk~0123745<~lxSnc_ds3456Xadzm7{k}t^antZcv89::==5yesv\ghvXmx:;<0:tfvqYdeyUn}=>?1333?scu|Vif|Rk~0122745<~lxSnc_ds3457Xadz87{in1:u7?}:76=1s0<0;;y>1:1=4:4?7u2;>59{80833q6=295w<6<7?}:?6?1s044?>59{8<8682rh?~<:0d/6)fv6;;>0u1>14:{?5;2;?7>0u1616:{?=?69<2s753sO@q30g7=GHq8>57H52;3xW<>=:<21=n<51211=dc=;=>3mv`=5782?k42?3<0(?;::30g?xU>?38>47?l2;3077?fm39?85j4d570>5<628qX554=5982g7<6;:82mh4<45:b?s@6mj0;6<4>:1yP==<5=10:o?4>320:e`<4<=2j7)<>5;31=>"6k:0>?6l;5283>42=mj0:iiuC22295~"6k:0=<95rL312>4}#=m>15?5r$332>1343S3;6?u9f;4g>x\58j09ov9>:7a907<3<3<=6;:564856?3c2490;7f>37=81>h4=f;17>6c=;h08:7=8:2`976<4k39:6>653380`?572:31?84;:m2a5<72-;h:7?j2:l2g0<532e:hk4?:%3`2?7b:2d:o84<;:m2``<72-;h:7?j2:l2g0<332e:hi4?:%3`2?7b:2d:o84:;:m2`f<72-;h:7?j2:l2g0<132e:ho4?:%3`2?7b:2d:o848;:m26=<72-;h:7?=7:l2g0<732e:>;4?:%3`2?75?2d:o84>;:m260<72-;h:7?=7:l2g0<532e:>94?:%3`2?75?2d:o84<;:m266<72-;h:7?=7:l2g0<332e:>?4?:%3`2?75?2d:o84:;:m264<72-;h:7?=7:l2g0<132e:>=4?:%3`2?75?2d:o848;:`16c<7280;6=u+2039a<=O:;o0ch650;9~f755290:6=4?{%025?46;2B9>h5`1b394?=zj;;;6=4>c;14>3g|D;9;61;7ge>\>83;p8o4rZ32`>1}303>m68?5518~m<0=831d=n:50;9j5f1=831bjn4?:%3`2?`e3g;h97>4;hdb>5<#9j<1jo5a1b795>=nn00;6)?l6;da?k7d=3807dh7:18'5f0=nk1e=n;53:9jb2<72-;h:7hm;o3`1?2<3`l>6=4+1b49bg=i9j?1965ff583>!7d>3li7c?l5;48?l`4290/=n85fc9m5f3=?21bj?4?:%3`2?`e3g;h9764;hd2>5<#9j<1jo5a1b79=>=nn90;6)?l6;da?k7d=3k07dki:18'5f0=nk1e=n;5b:9ja`<72-;h:7hm;o3`1?e<3`oo6=4+1b49bg=i9j?1h65feb83>!7d>3li7c?l5;g8?l77<3:1(<:18'5f0=nk1e=n;51198m465290/=n85fc9m5f3=9810e<>>:18'5f0=nk1e=n;51398m467290/=n85fc9m5f3=9:10ekh50;&2g34:9jb`<72-;h:7hm;o3`1?7232cmh7>5$0a5>cd6<84;hd5>5<#9j<1jo5a1b7952=c78ef>h6k<0:465m46d94?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm49294?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm49`94?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm48394?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm48094?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm48194?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm48694?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm48794?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm48494?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm48594?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm49394?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm49094?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm49194?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm49694?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm49794?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm49494?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm49594?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm49:94?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm49;94?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm49c94?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm49a94?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm49f94?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm49g94?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm49d94?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm48294?2=83:p(??>:d18L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1><5ri8394?=n1k0;66g>d`83>>i6kk0;66sm5e494?3=83:p(??>:d68L74b3E88<7?t$030>5=zD;9:6?u+5e69=6=i=m?1=h5ri8394?=n1<0;66g6b;29?l7ci3:17b?lb;29?xd6>o0;6><50;2xH7562>q/9lh52948 13b2h?0(8k?:29'037=9ln0(8ji:19'1a2=1:1e9i;5209~ 776285$0a5>g?6<64;h`7>5<#9j<1n45a1b7952=c78a=>h6k<0::65fbb83>!7d>3h27c?l5;36?>oel3:1(07dli:18'5f0=j01e=n;51298mf6=83.:o;4m9:l2g0<6:21bo<4?:%3`2?d>3g;h97?>;:k`6?6=,8i=6o74n0a6>46<3`i?6=4+1b49f<=i9j?1j65fa983>!7d>3h27c?l5;g8?lg>290/=n85b89m5f3=l21bml4?:%3`2?d>3g;h97m4;hca>5<#9j<1n45a1b79f>=nij0;6)?l6;`:?k7d=3k07dok:18'5f0=j01e=n;59:9je`<72-;h:7l6;o3`1?><3`km6=4+1b49f<=i9j?1;65fb083>!7d>3h27c?l5;48?ld4290/=n85b89m5f3==21bn84?:%3`2?d>3g;h97:4;h`5>5<#9j<1n45a1b797>=nj>0;6)?l6;`:?k7d=3807dl7:18'5f0=j01e=n;51:9jfd<72-;h:7l6;o3`1?6<3f;ni7>5$0a5>7673g;h97?7;:m2bg<72-;h:7=<50;&2g3<5891e=n;51798k764290/=n852128j4e228?07bi58?0;6)?l6;034>h6k<0:?65`21594?"6k?09<=5a1b7957=6?;o3`1?7732e94?:%3`2?4782d:o84m;:m2b1<72-;h:7;:m144<72-;h:75<7sE88=79t$57f>d3<,=?m6n;4$542>23<,9>;%7a4?3ck2.>h9463:l6`0<6l2w/>269o5}hc5>5<#9j<1n45a1b795==1<7*>c78a=>h6k<0:;65fbc83>!7d>3h27c?l5;35?>oek3:1(3g;h97?=;:k`5?6=,8i=6o74n0a6>47<3`i96=4+1b49f<=i9j?1==54ib694?"6k?0i56`>c48e?>of03:1(f=c78a=>h6k<0i76gnc;29 4e12k30b32cji7>5$0a5>g?6554i`d94?"6k?0i56`>c484?>oe93:1(1=c78a=>h6k<0876gm7;29 4e12k30b=83.:o;4m9:l2g0<632cim7>5$0a5>g?6=54ib494?"6k?0o;6`>c482<>=nl;0;6)?l6;f4?k7d=3;<76gk9;29 4e12m=0b4:9j`f<72-;h:7j8;o3`1?7432coh7>5$0a5>a16<<4;hff>5<#9j<1h:5a1b7954=c78g3>h6k<0:<65fe183>!7d>3n<7c?l5;d8?le?290/=n85d69m5f3=m21bo44?:%3`2?b03g;h97j4;hab>5<#9j<1h:5a1b79g>=nkk0;6)?l6;f4?k7d=3h07dml:18'5f0=l>1e=n;5a:9jga<72-;h:7j8;o3`1??<3`in6=4+1b49`2=i9j?1465fcg83>!7d>3n<7c?l5;58?lb7290/=n85d69m5f3=>21bh<4?:%3`2?b03g;h97;4;hf0>5<#9j<1h:5a1b790>=nl=0;6)?l6;f4?k7d=3907dj::18'5f0=l>1e=n;52:9j`3<72-;h:7j8;o3`1?7<3`n36=4+1b49`2=i9j?1<65`7483>>{e=8o1<7=50;2x 7762>30D?5;n3a`?6=3th>=o4?:283>5}#:8;1;45G23g8m46e2900e<>l:188k4dc2900qo;>9;297?6=8r.9=<489:J16`=n99h1<75f11a94?=h9kn1<75rb434>5<4290;w)<>1;5:?M45m2c:t$332>2?<@;8n7d??b;29?l77k3:17b?md;29?xd2980;6>4?:1y'647=?01C>?k4i02a>5<5<53;294~"5980<56F=2d9j55d=831b==m50;9l5gb=831vn8>l:180>5<7s-8:=796;I01a>o68k0;66g>0b83>>i6jm0;66sm51c94?5=83:p(??>:6;8L74b3`;;n7>5;h33g?6=3f;ih7>5;|`64=<72:0;6=u+20393<=O:;o0e<>m:188m46d2900c3:1?7>50z&154<012B9>h5f11`94?=n99i1<75`1cf94?=zj<:?6=4<:183!4693=27E<=e:k24g<722c:6<729q/>5<N5:l1b==l50;9j55e=831d=oj50;9~f04b29086=4?{%025?1>3A89i6g>0c83>>o68j0;66a>be83>>{e=;i1<7=50;2x 7762>30D?5;n3a`?6=3th>>l4?:283>5}#:8;1;45G23g8m46e2900e<>l:188k4dc2900qo;=8;297?6=8r.9=<489:J16`=n99h1<75f11a94?=h9kn1<75rb405>5<4290;w)<>1;5:?M45m2c:t$332>2?<@;8n7d??b;29?l77k3:17b?md;29?xd2:;0;6>4?:1y'647=?01C>?k4i02a>5<5<53;294~"5980<56F=2d9j55d=831b==m50;9l5gb=831vn8?<:180>5<7s-8:=796;I01a>o68k0;66g>0b83>>i6jm0;66sm4ga94?5=83:p(??>:6;8L74b3`;;n7>5;h33g?6=3f;ih7>5;|`6`<<72;0;6=u+2039542<@;8n7d??a;29?j7el3:17pl>c883>7<729q/>5;n3a`?6=3ty2:7>51cy]=3=:<>l15<524929=4=:<1h15<524839=4=:<0815<524819=4=:<0>15<524879=4=:<0<15<524859=4=:<1;15<524909=4=:<1915<524969=4=:<1?15<524949=4=:<1=15<5249:9=4=:<1315<5249c9=4=:<1i15<5249f9=4=:<1o15<5249d9=4=:<0:15<525e49=4=z{8i<6=4={_3`3>;6k00:5<5s4?o:77m;<7g=?7el2wx9i950;3a83c>33>70?ne;56?836m3;;n63:1c824g=:=831==l4=434>46e34?:97??b:?654<68k169=h511`8906d28:i70;?a;33f>;2810:m;<736?77j27><=4>0c9>0cc=99h01846e34?987??b:?667<68k169?>511`8907428:i70:ic;33f>{t=m<1<7=t^0a7?83c>3;hn63:d8824d=z{oi1<7j:g`8j76c291vko50;0xZcg<58kn6i84$32f>cdk:39~wc>=838pRk64=0cf>a2<,;:n6kl4n32g>6=z{o=1<7j:g`8j76c2=1vk;50;0xZc3<58kn6i?4$32f>cd01k:79~wc5=838pRk=4=0cf>f`<,;:n6kl4n32g>2=z{o81<7j:g`8j76c211vk?50;0xZc7<58kn6nj4$32f>cdk:`9~w``=838pRhh4=0cf>fd<,;:n6kl4n32g>g=z{lo1<7j:g`8j76c2j1vhj50;0xZ`b<58kn6n74$32f>cdk:d9~w4632909wS??4:?2e`4?:3y]555<58kn6ih4$32f>cd4}r336?6=:rT:;6il0oh6*=0d8ef>h58m0:>6s|11294?4|V8:;70?ne;f`?!47m3li7cvPif:?2e`k:048yv`12909wSh9;<3ba?b53-8;i7hm;o03`?703tynn7>52z\ff>;6il0h:6*=0d8ef>h58m0:46s|46494?5|5=3;6=j50:p022=839p196i:0aa?87fm3h370;>b;33g>"58l0?;85a21f95>{t<>91<7=t=5:f>4ee34;ji7l8;<72=?77k2.9c28ii70?ne;`5?836?3;;o6*=0d8730=i:9n1?6s|46394?5|5=2h6=j54:p03`=839p196n:0aa?87fm3h870;>1;33g>"58l0?;85a21f91>{t4ee34;ji7l>;<73b?77k2.92wx8;m50;1x91>?28ii70?ne;ce?837k3;;o6*=0d8730=i:9n1;6s|47`94?5|5=2<6=j58:p03g=839p1969:0aa?87fm3ko70;?8;33g>"58l0?;85a21f9=>{t4ee34;ji7ol;<732?77k2.9328ii70?ne;ca?837<3;;o6*=0d8730=i:9n1n6s|47594?5|5=2867??c:&14`<3?<1e>=j5c:p030=839p196=:0aa?87fm3k270;?0;33g>"58l0?;85a21f9`>{t4ee34;ji7o7;<6ea?77k2.9=j5119~w11d2908w0:65;3`f>;6il0h=63:2`824f=#:9o18:;4n32g>4753z?7=1<6kk16=lk5c19>17>=99i0(?>j:556?k47l3;97p};7`83>6}:<091=nl4=0cf>g`<5<8=6<>l;%03a?20=2d93:p02?=839p197=:0aa?87fm3ho70;=4;33g>"58l0?;85a21f951=z{==36=4<{<6:5?7dj27:mh4mc:?667<68j1/>=k54678j76c28?0q~:87;297~;30k0:oo521`g9fg=:=;:1==m4$32f>1123g8;h7?9;|q735<72:q685>51b`894gb2k>018?<:02`?!47m3><96`=0e823>{t1<7=t=55e>4ee34;ji7o9;<6eg?77k2.9e;3a`>"58l0>=n5a21f94>{t=8k1<7g><5<;i6;|q65=<72;q6=;h5b69>14?=9kn0(?>j:43`?k47l380q~;>6;296~;6>o0i:63:1682fa=#:9o196=z{<;?6=4={<35b?d234?:97?md:&14`<29j1e>=j54:p146=838p1<8i:c18907628ho7)h58m0>7p}:0d83>7}:9?l1n<5251d95gb<,;:n68?l;o03`?052z?22cbe9'65c==8i0b?>k:69~w06>2909w0?9f;cf?837i3;ih6*=0d865f=i:9n146s|51594?4|584dc3-8;i7;>c:l14a<>3ty><84?:3y>53`=ij169=851cf8 76b2<;h7cv3>6g8bf>;28=0:ni5+21g914e5<5s4;=j7on;<736?7el2.9?:0`g?!47m3?:o6`=0e8g?xu3nm0;6?u217d9e==:07d3g8;h7k4}r71`?6=:r7::k4l4:?66`<6jm1/>=k550a8j76c2o1v8"58l0>=n5a21f955=z{<826=4={<35b?e634?9m7?md:&14`<29j1e>=j5109~w0402909w0?9f;a3?83503;ih6*=0d865f=i:9n1=?5rs406>5<5s4;=j7li;<712?7el2.9"58l0>=n5a21f951=z{<8:6=4={<35b?dd34?9>7?md:&14`<29j1e>=j5149~w07a2909w0?9f;`a?83583;ih6*=0d865f=i:9n1=;5rs431>5<5s4;=j7l;;<727?7el2.91v9hm:181871n3k=70:ic;3a`>"58l0>=n5a21f95==z{=kj6=4={<6:4??e34;=j7=j50:p0d>=838p196i:8`8940a28lm7)h58m0:7p};a683>7}:<1o15o5217d95cc<,;:n69o6;o03`?4j:7>52z?7j27::k4>fe9'65c=k:29~w1g22909w0:7c;;a?871n3;mo6*=0d87e<=i:9n186s|4`194?4|5=2j64l4=04e>4`f3-8;i7:n9:l14a<23ty?m?4?:3y>0=?=1k16=;h51g;8 76b2=k27cv3;898:f>;6>o0:j:5+21g90d?5<5s4>3;77m;<35b?7a>2.9120h01<8i:0d6?!47m3>j56`=0e8:?xu31l0;6?u24979=g=:9?l1=k:4$32f>1g>3g8;h7o4}r6:`?6=:r7?4946b:?22c<6n:1/>=k54`;8j76c2k1v97l:18182?;33i70?9f;3e6>"58l0?m45a21f9g>{t<0h1<7;%03a?2f12d953`=9o:0(?>j:5c:?k47l3o0q~:m2;296~;31>02n63>6g814g=#:9o18l74n32g>c=z{=h:6=4={<6:2??e34;=j7=j5119~w1d72909w0:65;;a?871n38;46*=0d87e<=i:9n1=<5rs5ce>5<5s4>2877m;<35b?47?2.9;33i70?9f;032>"58l0?m45a21f956=z{=ko6=4={<6:6??e34;=j7=j5159~w1gd2909w0:61;;a?871n38;?6*=0d87e<=i:9n1=85rs5ca>5<5s4>3n77m;<35b?47:2.9"58l0?m45a21f952=z{=336=4={<64b??e34;=j7?je:&14`<3i01e>=j5199~w4e?290:nv3;7g82`d=:<1:1=io4=5:a>4bf34>2=7?ka:?7=7<6lh1684=51ec891?328nj70:65;3ge>;31?0:hl5248595ag<5=2:64>d`9>0=2=9mk0196::0fb?82?>3;om63;8682`d=:<121=io4=5::>4bf34>3m7?ka:?7b28nj70:7f;3ge>;3190:hl525e495ag<58i269577yO666=9rB:=h5rL312>7}i=m?1845+5e69=7=z,;;:68h8;[;3>1}6<3;>6;h56`8~^76d2hq::7?6:6392g<6k3;o6<951`825;n331?6=3f8:n7>5;ng6>5<5<#9j<1>?l4n0a6>5=6<54o30:>5<#9j<1>?l4n0a6>7=6>54o304>5<#9j<1>?l4n0a6>1=6854o306>5<#9j<1>?l4n0a6>3=6:54o300>5<#9j<1>?l4n0a6>==6454o347>5<#9j<1>;=4n0a6>5=6<54o342>5<#9j<1>;=4n0a6>7=6>54o37e>5<#9j<1>;=4n0a6>1=6854o37g>5<#9j<1>;=4n0a6>3=6:54o37a>5<#9j<1>;=4n0a6>==6454o0g0>5<#9j<1=h<4n0a6>5=6<54o0g3>5<#9j<1=h<4n0a6>7=6>54o0ff>5<#9j<1=h<4n0a6>1=6854o0f`>5<#9j<1=h<4n0a6>3=6:54i03g>5<#9j<1=5=6<54i03b>5<#9j<1=7=6>54i03;>5<#9j<1=1=6854i035>5<#9j<1=3=6=4+1b4954e6:54b30e>5<6290;w)<>1;g:?M45m2en47>5;|`177<7280;6=u+2039645<@;8n7b?l1;29?xd59k0;694?:1y'647=m:1C>?k4L312>7}#=m>1595a5e7967=za0;1<75f9c83>>o6lh0;66a>cc83>>{e99?1<7:50;2x 7762l90D?7e125;h;a>5<5<54;294~"5980n?6F=2d9O667=:r.>h9464:l6`0<5;2wb5<4?::k:f?6=3`;om7>5;n3`f?6=3th:i;4?:583>5}#:8;1i>5G23g8H7562;q/9i:5959m1a3=::1ve4?50;9j=g<722c:hl4?::m2gg<722wi=h950;694?6|,;;:6h=4H30f?I44938p(8j;:868j0b22;90qd7>:188m5<7s-8:=7k<;I01a>J5;809w);k4;;7?k3c=3887pg61;29?l?e2900ev*:d58:0>h2l<09?6sf9083>>o>j3:17d?ka;29?j7dj3:17pl>e`83>1<729q/>>5ri8394?=n1k0;66g>d`83>>i6kk0;66sm1d`94?2=83:p(??>:d18L74b3E88=7<26?=4}h;2>5<5<3290;w)<>1;g0?M45m2F9?<4={%7g0??33g?o97==;|k:5?6=3`3i6=44i0fb>5<N5:l1G>>?52z&6`1<><2d>h84=4:j=4<722c297>5;h;a>5<N5:l1G>>?52z&6`1<><2d>h84=4:j=4<722c297>5;h;a>5<N5:l1G>>?52z&6`1<><2d>h84=4:j=4<722c297>5;h;a>5<N5:l1G>>?52z&6`1<><2d>h84=4:j=4<722c297>5;h;a>5<N5:l1G>>?52z&6`1<><2d>h84=4:j=4<722c297>5;h;a>5<N5:l1G>>?52z&6`1<><2d>h84=4:j=4<722c297>5;h;a>5<N5:l1G>>?52z&6`1<><2d>h84=4:j=4<722c297>5;h;a>5<N5:l1G>>?52z&6`1<><2d>h84=4:j=4<722c297>5;h;a>5<N5:l1G>>?52z&6`1<><2d>h84=4:j=4<722c297>5;h;a>5<N5:l1G>>?52z&6`1<><2d>h84=4:j=4<722c297>5;h;a>5<N5:l1G>>?52z&6`1<><2d>h84=5:j=4<722c297>5;h;a>5<N5:l1G>>?52z&6`1<><2d>h84=5:j=4<722c297>5;h;a>5<N5:l1G>>?52z&6`1<><2d>h84=5:j=4<722c297>5;h;a>5<N5:l1G>>?52z&6`1<><2d>h84=5:j=4<722c297>5;h;a>5<N5:l1G>>?52z&6`1<><2d>h84=5:j=4<722c297>5;h;a>5<N5:l1G>>?52z&6`1<><2d>h84=5:j=4<722c297>5;h;a>5<N5:l1G>>?52z&6`1<><2d>h84=5:j=4<722c297>5;h;a>5<N5:l1G>>?52z&6`1<><2d>h84=5:j=4<722c297>5;h;a>5<N5:l1G>>?52z&6`1<><2d>h84=5:j=4<722c297>5;h;a>5<N5:l1G>>?52z&6`1<><2d>h84=5:j=4<722c297>5;h;a>5<?6*<6d86`a=#<h2l<08j6s+20391`75<6454o0ae>5<#9j<1=i64n0a6>==6:54o0f2>5<#9j<1=i64n0a6>3=6854o0f0>5<#9j<1=i64n0a6>1=6>54o0f5>5<#9j<1=i64n0a6>7=6<54o0f:>5<#9j<1=i64n0a6>5=7>5c;294~J5;80iw);nf;167>"4>l0>hi5+44a9=g=#<<314>5+47295fd<,=?j6474n577>4=#=h4n574>4=#=m>1595a5e797d=z,;;:6>9=;[;3>4}6:3wb544?::k14c<722e:on4?:%3`2?7c02d:o846;:m2gc<72-;h:7?k8:l2g0;:m2`<<72-;h:7?k8:l2g0<732wi=>=50;37>5<7sE88=79t$4ce>7>13->>i7o:;%7f4?5<,=<:672!7d>3i87c?l5;;8?ld3290/=n85c29m5f3=021bno4?:%3`2?e43g;h9794;h``>5<#9j<1o>5a1b792>=njm0;6)?l6;a0?k7d=3?07dli:18'5f0=k:1e=n;54:9jg5<72-;h:7m<;o3`1?5<3`i:6=4+1b49g6=i9j?1>65fc383>!7d>3i87c?l5;38?le3290/=n85c29m5f3=821d=hk50;&2g3<58h1e=n;59:9l5cd=83.:o;4=0`9m5f3=021d>=<50;&2g3<58h1e=n;57:9l655=83.:o;4=0`9m5f3=>21d>=:50;&2g3<58h1e=n;55:9l650=83.:o;4=0`9m5f3=<21d>=950;&2g3<58h1e=n;53:9l65>=83.:o;4=0`9m5f3=:21d>=750;&2g3<58h1e=n;51:9l65d=83.:o;4=0`9m5f3=821vn<=;:1820?6=8rF9?<48{%7bb?4?>2.?9h4n5:&6a5<43->==7?jd:&6`c<73-?o877;;o7g1?423t.9=<4>359Y=5<5s8;1=>4ri`494?"6k?0h?6`>c48:?>oe<3:1(3=c78`7>h6k<0>76gmf;29 4e12j90b5$0a5>f56?54ib094?"6k?0h?6`>c482?>od<3:1(=:18'5f0=:9k0b;:18'5f0=:9k0b8:18'5f0=:9k0b6:18'5f0=:9k0b>?57z&6ec<2lk1/88k5a49'1`6=12.?:<4>ee9'1a`=82.>h9464:l6`0<5<2w/>5<#9j<1o>5a1b79=>=nj=0;6)?l6;a0?k7d=3207dlm:18'5f0=k:1e=n;57:9jff<72-;h:7m<;o3`1?0<3`ho6=4+1b49g6=i9j?1965fbg83>!7d>3i87c?l5;68?le7290/=n85c29m5f3=;21bo<4?:%3`2?e43g;h97<4;ha1>5<#9j<1o>5a1b795>=nk=0;6)?l6;a0?k7d=3:07b?je;29 4e12;:j7c?l5;;8?j7aj3:1(3:1(07bb183>42=83:p@?=>:6y'1d`==mh0(9;j:`78 0c72<1/8;?51df8 0ba291/9i:5959m1a3=:<1v(??>:0`3?_?72;q:=7?<:|kb2?6=,8i=6n=4n0a6><=1<7*>c78`7>h6k<0376gmb;29 4e12j90b5$0a5>f56854icd94?"6k?0h?6`>c487?>od83:1(4=1<7*>c78`7>h6k<0;76a>ed83>!7d>38;m6`>c48:?>i6nk0;6)?l6;03e>h6k<0376a=0383>!7d>38;m6`>c484?>i58:0;6)?l6;03e>h6k<0=76a=0583>!7d>38;m6`>c486?>i58?0;6)?l6;03e>h6k<0?76a=0683>!7d>38;m6`>c480?>i5810;6)?l6;03e>h6k<0976a=0883>!7d>38;m6`>c482?>i58k0;6)?l6;03e>h6k<0;76sm16694?e=83:p@?=>:6y'00c=i<1/9h>50:&724<0<2.>mk4<719'1g6==mi0(8j;:868j0b22;h0q)<>1;340>\>83;p>l4ri`494?"6k?0j;6`>c48b?>oe<3:1(2=c78b3>h6k<0=76gmf;29 4e12h=0b5$0a5>d16>54ib094?"6k?0j;6`>c481?>od<3:1(5}K::;1;v*;5d8b1>"2m909=6*;60842>"2io0?>n5+5c291ae<,7d<=c78b3>h6k<0376gmc;29 4e12h=0b5$0a5>d16854ib294?"6k?0j;6`>c487?>od93:1(4=c78b3>h6k<0;76a86;29?xd6?10;6n4?:1yO667=?r.?9h4n5:&6a5<03->==79:;%7bb?5092.>n=4:db9'1a2=1=1e9i;5219~ 77628=37W7?:0y1e?{ni?0;6)?l6;c4?k7d=3k07dl;:18'5f0=i>1e=n;59:9jfg<72-;h:7o8;o3`1?><3`hh6=4+1b49e2=i9j?1;65fbe83>!7d>3k<7c?l5;48?lda290/=n85a69m5f3==21bo=4?:%3`2?g03g;h97:4;ha2>5<#9j<1m:5a1b797>=nk;0;6)?l6;c4?k7d=3807dm;:18'5f0=i>1e=n;51:9je=<72-;h:7o8;o3`1?6<3f=>6=44}c34e?6=k3:17);j0;01?!2193==7);nf;61g>"2j90>hn5+5e69=1=i=m?1>=5r$332>41f3S3;6!7d>3k<7c?l5;:8?ldd290/=n85a69m5f3=?21bni4?:%3`2?g03g;h9784;h`e>5<#9j<1m:5a1b791>=nk90;6)?l6;c4?k7d=3>07dm>:18'5f0=i>1e=n;53:9jg7<72-;h:7o8;o3`1?4<3`i?6=4+1b49e2=i9j?1=65fa983>!7d>3k<7c?l5;28?j112900qo?89;29g?6=8rF9?<48{%66a?g23-?n<784$542>23<,9>;%7a4?3ck2.>h9464:l6`0<582w/>32cin7>5$0a5>d16554ica94?"6k?0j;6`>c484?>oel3:1(1=c78b3>h6k<0876gl2;29 4e12h=0b5$0a5>d16=54o6794?=zj8=i6=4l:183I4493=p(9;j:`78 0c72=1/8;?5779'1d`=<;i0(8l?:4f`?!3c<33?7c;k5;03?x"5980:;o5U91827g=u`k=6=4+1b49e2=i9j?1m65fb583>!7d>3k<7c?l5;;8?lde290/=n85a69m5f3=021bnn4?:%3`2?g03g;h9794;h`g>5<#9j<1m:5a1b792>=njo0;6)?l6;c4?k7d=3?07dm?:18'5f0=i>1e=n;54:9jg4<72-;h:7o8;o3`1?5<3`i96=4+1b49e2=i9j?1>65fc583>!7d>3k<7c?l5;38?lg?290/=n85a69m5f3=821d;;4?::a520=83i1<7>tL312>2}#<"3>80<86*:ag8035=#=k:19im4$4f7><26>?4}%025?70>2P2<7?t2`8~md0=83.:o;4n7:l2g05$0a5>d16454ic`94?"6k?0j;6`>c48;?>oek3:1(10eoj50;&2g30=c78b3>h6k<0?76gl1;29 4e12h=0b5$0a5>d16<54i`:94?"6k?0j;6`>c483?>i0<3:17pl>7683>f<729qG>>?57z&71`i=4=0:&724<0>2.>mk4;2b9'1g6==mi0(8j;:868j0b22:;0q)<>1;343>\>83;p>l4ri`494?"6k?0j;6`>c48b?>oe<3:1(2=c78b3>h6k<0=76gmf;29 4e12h=0b5$0a5>d16>54ib094?"6k?0j;6`>c481?>od<3:1(j<4?:c80>a}#:8;19k?4o4gg>5<5<5<5<5<5<0<729q/>5<5<ii525g295gb7>52z\6a7=:=lo1==m4}r7f7?6=:rT>i>525dg955d52z\6a1=:=ll1==l4}r7f1?6=:rT>i8525dg955b52z\6a3=:=ll1==m4}r7f3?6=:rT>i:525dd955c52z\6a==:=ll1==j4}r7f=?6=:rT>i4525g2955d52z\6ad=:=o:1==m4}r7fg?6=:rT>in525dg955c52z?6a`<6jm169k>511f8yv3bn3:1>v3:eg82fa=:=o:1==k4}|`6b3<72k086iu+20391c05<5<5<5<5<5<2290;w)<>1;5g?M45m2c:t$332>2`<@;8n7d??b;29?l77k3:17d??d;29?l77m3:17b?md;29?xd2n<0;684?:1y'647=?l1C>?k4i02a>5<5<5<7>52z\6b7=:=o?1=oj4}r147?6=:rT8;>525g6955d52z\031=:=o91==l4}r141?6=:rT8;8525g6955e52z\033=:=o>1==j4}r143?6=:rT8;:525g6955c52z\03==:=o91==m4}r14=?6=:rT8;4525g7955d52z\03d=:=o?1==m4}r14f?6=:rT8;o525g1955b52z\03a=:=o91==k4}r7e7?6=:r7>j>4>be9>1c3=99n0q~;i4;296~;2n=0:ni525g7955c5}#:8;1;55G23g8m46e2900e<>l:188k4dc2900qo<:4;291?6=8r.9=<48c:J16`=n99h1<75f11a94?=n99n1<75f11g94?=h9kn1<75rb33;>5<4290;w)<>1;5;?M45m2c:t$332>4733A89i6g>0`83>>i6jm0;66sme683>7<729q/>5;n3a`?6=3ty2:7>522y]=3=::8h15<521179=4=:m<02=63>e58:5>;6m<02=63>e78:5>;6m>02=63>e98:5>;6m002=63>e`8:5>;6mk02=63=178:5>;5;:02=63=358:5>;5;<02=63=378:5>;5;>02=63=398:5>;5;002=63=3`8:5>;5;k02=63=3b8:5>;5><02=63=678:5>;5>>02=63=698:5>;5>002=63=6`8:5>;5>k02=63=6b8:5>;5>m02=63=6d8:5>;2m802563<738:=>{t99?1<7b;3`f>{tm<0;6?uQe49>a0<6kk1v??9:181[46>279=;4>cc9~w46>2909w0?85;55?877?3;;o6s|11:94?4|58=?6::4=024>46e3ty9>=4?:`y>64d=9mk01e782`d=:9l=1=io4=0g;>4bf34;n57?ka:?2ad<6lh16=hl51ec8974628ho7p}=1683>7}::8<1=io4=33;>4dc3ty9=h4?:3y>52g=??16>8:511a8yv46n3:1>v3>7c842>;5==0:?7>517y>665=1<16>>:5949>663=1<16>>85949>661=1<16>>65949>66?=1<16>>o5949>66d=1<16>>m5949>633=1<16>;85949>631=1<16>;65949>63?=1<16>;o5949>63d=1<16>;m5949>63b=1<16>;k5949>602=9kn01?<>:02b?xu2mm0;6>u25d3965`<546f3ty>j?4?:2y>1`7=1h16?:<521d890`127}:9>=1;;5220:955e52z?240<6lh16==951cf8yv4613:1>v3>77840>;5910:5<5s4;<479:;<060?77j2wx>2>?01?;;:02g?xu5:j0;6?uQ23a8975d28ii7)h58m0;7p}=2`83>7}Y:;k01?=m:0aa?!47m389n6`=0e82?xu5:00;6?uQ23;8975f28ii7)h58m097p}=2983>7}Y:;201?=6:0aa?!47m389n6`=0e80?xu5:>0;6?uQ2358975?28ii7)h58m0?7p}=2783>7}Y:;<01?=8:0aa?!47m389n6`=0e86?xu5:<0;6?uQ2378975128ii7)h58m0=7p}=2583>7}Y:;>01?=::0aa?!47m389n6`=0e84?xu5::0;6?uQ2318975328ii7)h58m037p}=2383>7}Y:;801?=<:0aa?!47m389n6`=0e8:?xu5>=0;6?uQ2768970b28ii7)h58m0;7p}=6383>7}Y:?801?8k:0aa?!47m38=?6`=0e82?xu5>80;6?uQ2738970d28ii7)h58m097p}=6183>7}Y:?:01?8m:0aa?!47m38=?6`=0e80?xu5=o0;6?uQ24d8970f28ii7)h58m0?7p}=5d83>7}Y:h58m0=7p}=5b83>7}Y:h58m037p}=5`83>7}Y:h58m0;7p}>e083>7}Y9l;016`=0e82?xu6m90;6?uQ1d2894c>28ii7)h58m097p}>dg83>7}Y9ml016`=0e80?xu6ll0;6?uQ1eg894c028ii7)h58m0?7p}>de83>7}Y9mn016`=0e86?xu6lj0;6?uQ1ea894c228ii7)h58m0=7p}>dc83>7}Y9mh016`=0e84?xu69m0;6?uQ10f894ce20h0(?>j:03`?k47l3:0q~?>b;296~X69k16=ho59c9'65c=98i0b?>k:09~w47f2909wS?>a:?2a<<>j2.9

1b9m65b=:2wx=<750;0xZ47>34;n477m;%03a?76k2d952z\252=:9l<15o5+21g954e5<5sW;::63>e48:f>"58l0:=n5a21f92>{t98?1<7h58m0<7p}<7e83>2}:;>81=i74=0ce>f2<58=?6n:4=056>f2<58=36n:4=05b>f2<59k;%03a?50k2d9q6?:<51e5894ga2j801<9;:b0894122j801<97:b08941f2j8018h9:25a?!47m39f7<58=>6n?4=05;>f7<58=j6n?4=4d5>61f3-8;i7=8c:l14a<53ty8;44?:6y>724=9m>01h58m087p}<7983>2}:;>81=i=4=0ce>g`<58=?6oh4=056>g`<58=36oh4=05b>g`<597;%03a?50k2d9q6?:<51e0894ga2kn01<9;:cf894122kn01<97:cf8941f2kn018h9:254?!47m39ge<58=>6om4=05;>ge<58=j6om4=4d5>6113-8;i7=8c:l14a<13ty8;84?:6y>724=9m:017)h58m0<7p}<7583>2}:;>81=nh4=0ce>g2<58=?6o:4=056>g2<58=36o:4=05b>g2<59;;%03a?50k2d9q6?:<51ba894ga2h<01<9;:`4894122h<01<97:`48941f2h<018h9:250?!47m39f2<58=i6n:4=055>f2<58=<6n:4=4d2>0cd3-8;i7;jb:l14a<73ty>il4?:6y>1`7=9m=012j801<9m:b0894112j801<98:b0890`62h58m0:7p}:e883>2}:=l;1=i84=0`3>f7<58=26n?4=05a>f7<58==6n?4=054>f7<5q69h?51e6894d72j:01<96:b28941e2j:01<99:b2894102j:018h>:4g;?!47m3?nn6`=0e80?xu2m>0;6:u25d395a5<58h;6oh4=05:>g`<58=i6oh4=055>g`<58=<6oh4=4d2>0c03-8;i7;jb:l14a<33ty>i;4?:6y>1`7=9m8012kn01<9m:cf894112kn01<98:cf890`62h58m0>7p}:e483>2}:=l;1=i?4=0`3>ge<58=26om4=05a>ge<58==6om4=054>ge<5q69h?51e2894d72kh01<96:c`8941e2kh01<99:c`894102kh018h>:4g7?!47m3?nn6`=0e84?xu2m:0;6:u25d395f`<58h;6o:4=05:>g2<58=i6o:4=055>g2<58=<6o:4=4d2>0c43-8;i7;jb:l14ai?4?:6y>1`7=9ji012h<01<9m:`4894112h<01<98:`4890`62h58m027p}=4683>7}:::i15o52121965d<,;:n6?:9;o03`?652z?17g<>j27:?>4=089'65c=:=<0b?>k:09~w7232909w0<6s|25194?4|5;9264l4=010>7603-8;i7<;6:l14a<43ty98?4?:3y>66>=1k16=>=52148 76b2;>=7cv3=368:f>;6;:09<95+21g96105<5s488:77m;<307?47;2.92wx>>h50;0x975220h01<=<:321?!47m38?:6`=0e84?xu5;l0;6?u22269=g=:9:91=kl4$32f>7213g8;h764}r00`?6=:r79?>46b:?276<6ml1/>=k52548j76c201v?96:181841m33i70?<4;03f>"58l09;55a21f94>{t:>=1<76;%03a?4002d9;|q133<72;q6>;m59c9>562=:920(?>j:35;?k47l380q~<85;296~;5>k02n63>358142=#:9o1>:64n32g>6=z{;=?6=4={<05e??e34;887=j54:p625=838p1?86:8`894532;:?7)h58m0>7p}=7383>7}::?215o521269655<,;:n6?97;o03`?052z?122<>j27:?94=039'65c=:>20b?>k:69~w7172909w0<96;;a?874<3;mn6*=0d813==i:9n146s|27d94?4|5;<>64l4=017>4cb3-8;i7<88:l14a<>3ty99?4?:3y>565=k=16=lh521`8 76b2;?:7cv3>328`6>;6io09<45+21g96075<5s4;8?7m>;<3bb?4702.99k50;0x94542j:01=6`=0e80?xu5=84$32f>7363g8;h7:4}r07g?6=:r7:?>4md:?2ec<58=1/>=k52438j76c2<1v?:m:181874;3hh70?nf;037>"58l099<5a21f92>{t:=k1<7gd<58km6?>=;%03a?4292d9=5b59>5d`=9oh0(?>j:372?k47l320q~<;8;296~;6;:0j:63>ag82a`=#:9o1>8?4n32g><=z{;2?6=4={<300?e334;i<7=j50:p6=4=838p1<=;:b0894d72;:27)h58m0:7p}=8083>7}:9:>1o<521c2965><,;:n6?6<;o03`?452z?271k:29~w71a2909w0?<4;`e?87e838;:6*=0d81<6=i:9n186s|26g94?4|589?6oj4=0`3>7633-8;i7<73:l14a<23ty9;i4?:3y>562=jj16=o>52118 76b2;287cv3>358af>;6j9095<5s4;887l;;<3a4?7aj2.9:o50;0x94532h<01>1m5521679e==:9>21m55216c9e==:9>31m55216`9e==:9><1m5521659e==z{8hj6=4:{<006?7d9279=o46b:?240<>j27n977m;<022??e3twi?om50;32>=<69rF9?=4>{I32a>{K::;1;v`:d48b6>"2io0?>o5+44a9=g=#`g7}1m3<26pT=0b8126=>10veho50;9l5a0=83.:o;4>d49m5f3=821d=i:50;&2g3<6l<1e=n;51:9l5a5=83.:o;4>d49m5f3=:21d=i<50;&2g3<6l<1e=n;53:9l5a7=83.:o;4>d49m5f3=<21d=i>50;&2g3<6l<1e=n;55:9l5f`=83.:o;4>d49m5f3=>21d=nm50;&2g3<6l<1e=n;57:9je1<72-;h:7o<;o3`1?6<3`k96=4+1b49e6=i9j?1=65fa083>!7d>3k87c?l5;08?lg7290/=n85a29m5f3=;21b5k4?:%3`2?g43g;h97:4;h;f>5<#9j<1m>5a1b791>=n1m0;6)?l6;c0?k7d=3<07d7l:18'5f0=i:1e=n;57:9a4?6=;3;1?vB=3182M76m2wG>>?55z&6ec<3:k1/88m59c9'036=9jh0(9;k:dc8j131281v(??>:00a?l?e2900c53;294~"5980:=k5G23g8H75728q/=<=50:j=g<722cn47>5;n3`f?6=3ty2n7>52z\:f>;720h0q~?lb;296~X6kk16<7?lb:pad<72;qUil520;g;?x{e;3:1?7?53zN175<6sA;:i6sC22391~"2io0?>o5+44a9=g=#`g44d3`3i6=44o0aa>5<1g9K67c{n1k0;66gj8;29?j7dj3:17p}6b;296~X>j27;64l4}r3`f?6=:rT:oo520;3`f>{tmh0;6?uQe`9>4?c?3twi8;4?:282>6}K:::1=vF>1d9~H756214e3->>o77m;%654?7dj2.?9i4ja:l713<63t.9=<4>2d9j=g<722e:oo4?::kfe?6=3k:1<7=50;2x 77628;m7E<=e:N175<6s-;:?7>4}h;a>5<j2wx=nl50;0xZ4ee34:1=nl4}rgb>5<5sWoj70>5e99~yg3329086<4<{M004?7|@8;n7pB=3086!3fn3>9n6*;5b8:f>"3>90:oo5+44f9ad=i<<<1=6s+203957`5<7s-8:=7?>f:J16`=K:::1=v*>1283?xo>j3:17dk7:188k4ee2900q~7m:181[?e34:15o5rs0aa>5<5sW;hn63?:0aa?xubi3:1>vPja:?3>`>97>53;397~J5;90:wE?>e:O667==r.>mk4;2c9'00e=1k1/8;>51b`8 13c2lk0b9;9:09~ 776289;7d7m:188k4ee2900eho50;9a4?6=;3:15;|q:f?6=:rT2n63?:8`8yv7dj3:1>vP>cc9>4?7dj2wxil4?:3y]ad=:83o37psm5783>6<62:qG>>>51zJ25`=zD;9:68u+5`d907d<,=?h64l4$543>4ee3->>h7kn;o662?75;hgb>5<4?:1y'647=98l0D?cc83>>{t1k0;6?uQ9c9>4??e3ty:oo4?:3y]5fd<590:oo5rsdc94?4|Vlk01=4j8:~f31=8391=7=tL313>4}O98o0qA<<1;7x 0ga2=8i7)::c;;a?!2183;hn6*;5e8fe>h3=?0:7p*=108277=n1k0;66a>cc83>>obi3:17o>50;194?6|,;;:6J5;90:w)?>3;28yl?e2900eh650;9l5fd=831v4l50;0xZ51b`8yvcf2909wSkn;<29a==zuzoj6=46{_gb?86=mh16?7kn;<65>`g<5<81il52558fe>;2=3oj70;9:dc8931=mh1v27=;7?lb:&14`<6l<1e>=j50:p5a2=838pR4ee3-8;i7?k5:l14a<63ty:h>4?:3y]5a5<54b23g8;h7<4}r3g6?6=:rT:h?525582gg=#:9o1=i;4n32g>6=z{8n:6=4={_3g5>;2:3;hn6*=0d82`0=i:9n186s|1e294?4|V8n;70:9:0aa?!47m3;o96`=0e86?xu6ko0;6?uQ1bd896<6kk1/>=k51e78j76c2?1v4=z{h;1<7=k5a29m65b=:2wxm=4?:3y]e5=:==02n6*=0d8b7>h58m087p}6f;296~X>n27>>77m;%03a?g43g8;h7:4}r;f>5<5sW3n70:9:8`8 76b2h90b?>k:49~w"58l0j?6`=0e85?xu>k3:1>vP6c:?3>2=zuk93=7>55;294~"5980n86F=2d9O666=9r.:=>4?;|N174<5s-?o877=;o7g1?1a3tc2=7>5;h;6>5<5<2290;w)<>1;g7?M45m2F9?=4>{%327?6<46:h4}h;2>5<>o6lh0;66a>cc83>>{e:1o1<7=50;2x 7762l;0D?7}#=m>15?5a5e79<4=za0;1<75f9c83>>i6kk0;66sm29d94?5=83:p(??>:d38L74b3E88<7?t$030>5=zD;9:6?u+5e69=7=i=m?14<5ri8394?=n1k0;66a>cc83>>{e:0:1<7=50;2x 7762l;0D?5rL312>7}#=m>15?5a5e79<4=za0;1<75f9c83>>i6kk0;66sm28394?5=83:p(??>:d38L74b3E88<7?t$030>5=zD;9:6?u+5e69=7=i=m?14<5ri8394?=n1k0;66a>cc83>>{e:081<7=50;2x 7762l;0D?7}#=m>15?5a5e79<4=za0;1<75f9c83>>i6kk0;66sm28194?5=83:p(??>:d38L74b3E88<7?t$030>5=zD;9:6?u+5e69=7=i=m?14<5ri8394?=n1k0;66a>cc83>>{e=k81<7:50;2x 7762l80D?7}#=m>15?5a5e79=1=za0;1<75f9483>>o>j3:17b?lb;29?xd3?o0;694?:1y'647=m;1C>?k4L313>4}#9891<6sC22396~"2l=02>6`:d48:1>{n180;66g65;29?l?e2900c50z&154h5C22295~"69:0;7pB=3081!3c<3397c;k5;;6?xo>93:17d7::188me290?6=4?{%025?c53A89i6B=3182!76;3:0qA<<1;0x 0b32080b8j::878yl?62900e4;50;9j=g<722e:oo4?::a0<7=83>1<7>t$332>`4<@;8n7A<<0;3x 474291v@?=>:3y'1a2=1;1e9i;5949~m<7=831b584?::k:f?6=3f;hn7>5;|`7=7<72=0;6=u+2039a7=O:;o0@?=?:0y'545=82wG>>?52z&6`1<>:2d>h8465:j=4<722c297>5;h;a>5<N5:l1G>>>51z&256<73tF9?<4={%7g0??53g?o977:;|k:5?6=3`3>6=44i8`94?=h9jh1<75rb5;7>5<3290;w)<>1;g1?M45m2F9?=4>{%327?6<464;4}h;2>5<>i6kk0;66sm48794?2=83:p(??>:d08L74b3E88<7?t$030>5=zD;9:6?u+5e69=7=i=m?1585ri8394?=n1<0;66g6b;29?j7dj3:17pl;9783>1<729q/>{K::;1>v*:d58:6>h2l<0296sf9083>>o>=3:17d7m:188k4ee2900qo:67;290?6=8r.9=<4j2:J16`=K:::1=v*>1283?xJ5;809w);k4;;1?k3c=33>7pg61;29?l?22900e4l50;9l5fd=831vn96>:187>5<7s-8:=7k=;I01a>J5;90:w)?>3;28yI44938p(8j;:808j0b220?0qd7>:188m<3=831b5o4?::m2gg<722wi85<50;694?6|,;;:6h<4H30f?I4483;p(5;n3`f?6=3th?4>4?:583>5}#:8;1i?5G23g8H75728q/=<=50:O667=:r.>h9462:l6`0<>=2wb5<4?::k:1?6=3`3i6=44o0aa>5<387>54;294~"5980n>6F=2d9O666=9r.:=>4?;|N174<5s-?o877=;o7g1??23tc2=7>5;h;6>5<6=4;:183!4693o97E<=e:N175<6s-;:?7>4}M005?4|,<3cc83>>{e<1<1<7:50;2x 7762l80D?7}#=m>15?5a5e79=0=za0;1<75f9483>>o>j3:17b?lb;29?xd30>0;694?:1y'647=m;1C>?k4L313>4}#9891<6sC22396~"2l=02>6`:d48:1>{n180;66g65;29?l?e2900c50z&154h5C22295~"69:0;7pB=3081!3c<3397c;k5;;6?xo>93:17d7::188m>290?6=4?{%025?c53A89i6B=3182!76;3:0qA<<1;0x 0b32080b8j::878yl?62900e4;50;9j=g<722e:oo4?::a74`=83>1<7>t$332>`4<@;8n7A<<0;3x 474291v@?=>:3y'1a2=1;1e9i;5709~m<7=831b584?::k:f?6=3f;hn7>5;|`065<72=0;6=u+2039a7=O:;o0@?=?:0y'545=;2wG>>?52z&6`1<>:2d>h8481:j=4<722c297>5;h;a>5<N5:l1G>>>51z&256<73tF9?<4={%7g0??53g?o979>;|k:5?6=3`3>6=44i8`94?=h9jh1<75rb201>5<3290;w)<>1;g1?M45m2F9?=4>{%327?6<46:?4}h;2>5<>i6kk0;66sm33194?2=83:p(??>:d08L74b3E88<7?t$030>5=zD;9:6?u+5e69=7=i=m?1;<5ri8394?=n1<0;66g6b;29?j7dj3:17pl<2583>1<729q/>{K::;1>v*:d58:6>h2l<0<=6sf9083>>o>=3:17d7m:188k4ee2900qo=:4;2952<729qG>>?59z&6ec<50<1/?;k55ef8 13d20h0(9;6:918 10728ii7)::a;;:?k22<3;0(8j;:808j0b220h0q)<>1;160>\>838p>44=c;j=<<722c387>5$0a5>=36l54i9594?"6k?0396`>c48:?>o?03:1(3=c78;1>h6k<0>76g7c;29 4e121?0b5$0a5>=36?54i9d94?"6k?0396`>c482?>o?>3:1(10c290/=n851bf8j4e22810cmk4=849'73c==mn0(9;l:8`8 13>2190(98?:0aa?!22i3327c::4;38 0b32080b8j::8a8y!46939==6T60;0x6<<5k3wb544?::k;0?6=,8i=65;4n0a6>d=c78;1>h6k<0276g78;29 4e121?0b5$0a5>=36;54i9`94?"6k?0396`>c486?>o?k3:1(7=c78;1>h6k<0:76g76;29 4e121?0b:18'5f0=9jn0b>?5dz&6ec<50<1/?;k55eg8 13d20h0(9;6:918 10728ii7)::a;;:?k22<3;0(9;m:8c8j132281/8;=52008j13?281/9i:5939m1a3=0?1v(??>:50f?_?72;q9575;h;b>5<1<7*>c78;1>h6k<0j76g77;29 4e121?0b=83.:o;475:l2g05$0a5>=36:54i9c94?"6k?0396`>c485?>o?j3:1(6=c78;1>h6k<0976g7f;29 4e121?0b32e:h=4?:%3`2?7dl2d:o847;:m2`4<72-;h:7?ld:l2g0<032e:h?4?:%3`2?7dl2d:o849;:m2`6<72-;h:7?ld:l2g0<232e:h94?:%3`2?7dl2d:o84;;:m2`3<72-;h:7?ld:l2g0<432e:h:4?:%3`2?7dl2d:o84=;:m2`<<72-;h:7?ld:l2g0<632e:oh4?:%3`2?7dl2d:o84?;:a1=g=83;26=4?{M005?b|,cc9'00g=101e88:51:&71g<>i2d?984>;%657?46:2d?954>;%7g0??53g?o976l;|&154<20h1Q5=4={3;96f32c347>5$0a5>=36554i9;94?"6k?0396`>c484?>o?i3:1(1=c78;1>h6k<0876g7e;29 4e121?0b5$0a5>=36=54o0a`>5<#9j<1=nj4n0a6>d=6454o0f3>5<#9j<1=nj4n0a6>==6:54o0f1>5<#9j<1=nj4n0a6>3=6854o0f7>5<#9j<1=nj4n0a6>1=6>54o0f4>5<#9j<1=nj4n0a6>7=6<54o0af>5<#9j<1=nj4n0a6>5=5c;294~J5;80"3=l0j96*:e180?!2193;nh6*:dg83?!3c<3397c;k5;52?x"5980::n5U918101==j0vel850;&2g31=c78aa>h6k<0876gmc;29 4e12ko0b5$0a5>gc6=54o0gf>5<#9j<1>=;4n0a6>0=6954o321>5<#9j<1>=;4n0a6>6=6?54o327>5<#9j<1>=;4n0a6>4=6=54}c3a0?6=:80;6=uC22393~"2io0>ho5+44g9e0=#=l:1=6*;6082aa=#=ml1<6*:d58:6>h2l<0c78b3>h6k<0j76gm4;29 4e12h=0b5$0a5>d16:54icf94?"6k?0j;6`>c485?>oen3:1(50;&2g36=c78b3>h6k<0976gl4;29 4e12h=0b=83.:o;4n7:l2g0<732ch:7>5$0a5>f16l54ie094?"6k?0h;6`>c48:?>oc13:1(3=c78`3>h6k<0>76gkd;29 4e12j=0b5$0a5>f16?54id294?"6k?0h;6`>c482?>od03:1(=:18'5f0=9ll0b10c?>;:18'5f0=9ll0b8:18'5f0=9ll0b6:18'5f0=9ll0b<4?:1yO667=?r.>mk4=879'00c=i<1/9h>51:&724<6mm1/9ih50:&6`1<>:2d>h848c:'647=9?l0V4>53z0b>7d=:m0vel850;&2g3<=c78b3>h6k<0376gmc;29 4e12h=0b5$0a5>d16854ib294?"6k?0j;6`>c487?>od93:1(4=c78b3>h6k<0;76gl6;29 4e12j=0b32co57>5$0a5>f16554iec94?"6k?0h;6`>c484?>ocj3:1(1=c78`3>h6k<0876gkf;29 4e12j=0b5$0a5>f16=54o0gf>5<#9j<1=hh4n0a6>d=6454o321>5<#9j<1=hh4n0a6>==6:54o327>5<#9j<1=hh4n0a6>3=6854o324>5<#9j<1=hh4n0a6>1=6>54o32:>5<#9j<1=hh4n0a6>7=6<54o0d3>5<#9j<1=hh4n0a6>5=52083>5}K::;1;v*:ag81<3=#<"3>80:ii5+5ed94>"2l=02>6`:d484g>{#:8;1=:>4Z8297~4f2;h1>i4ri`494?"6k?0j;6`>c48b?>oe<3:1(2=c78b3>h6k<0=76gmf;29 4e12h=0b5$0a5>d16>54ib094?"6k?0j;6`>c481?>od<3:1(d=c78`3>h6k<0276gk9;29 4e12j=0b5$0a5>f16;54iea94?"6k?0h;6`>c486?>ocl3:1(7=c78`3>h6k<0:76gl8;29 4e12j=0b<:18'5f0=9ll0b9:18'5f0=9ll0b7:18'5f0=9ll0b290/=n851dd8j4e22;10c?>m:18'5f0=9ll0b>?57z&6ec<2lk1/88k5a49'1`6=92.?:<4>ee9'1a`=82.>h9462:l6`0<0<2w/>5$0a5>d16454ic`94?"6k?0j;6`>c48;?>oek3:1(10eoj50;&2g30=c78b3>h6k<0?76gl1;29 4e12h=0b5$0a5>d16<54i`:94?"6k?0j;6`>c483?>od>3:1(==c78`3>h6k<0<76gkb;29 4e12j=0b5$0a5>f16954ieg94?"6k?0h;6`>c480?>ocn3:1(50;&2g3;:k`5=6l54o0da>5<#9j<1=hh4n0a6><=6554o320>5<#9j<1=hh4n0a6>2=6;54o325>5<#9j<1=hh4n0a6>0=6954o32;>5<#9j<1=hh4n0a6>6=6?54o32a>5<#9j<1=hh4n0a6>4=6=54}c3a2?6=:80;6=uC22393~"2io0>ho5+44g9e0=#=l:1=6*;6082aa=#=ml1<6*:d58:6>h2l<0<86s+20395g0c78b3>h6k<0j76gm4;29 4e12h=0b5$0a5>d16:54icf94?"6k?0j;6`>c485?>oen3:1(50;&2g36=c78b3>h6k<0976gl4;29 4e12h=0b=83.:o;4n7:l2g0<732ch:7>5$0a5>f16l54ie094?"6k?0h;6`>c48:?>oc13:1(3=c78`3>h6k<0>76gkd;29 4e12j=0b5$0a5>f16?54id294?"6k?0h;6`>c482?>od03:1(=:18'5f0=9ll0b10c?>;:18'5f0=9ll0b8:18'5f0=9ll0b6:18'5f0=9ll0b<4?:1yO667=?r.>mk4=879'00c=i<1/9h>51:&724<6mm1/9ih50:&6`1<>:2d>h8484:'647=9>;0V4>53z0b>7d=:m0vel850;&2g3<=c78b3>h6k<0376gmc;29 4e12h=0b5$0a5>d16854ib294?"6k?0j;6`>c487?>od93:1(4=c78b3>h6k<0;76gl6;29 4e12j=0b32co57>5$0a5>f16554iec94?"6k?0h;6`>c484?>ocj3:1(1=c78`3>h6k<0876gkf;29 4e12j=0b5$0a5>f16=54o0gf>5<#9j<1=hh4n0a6>d=6454o321>5<#9j<1=hh4n0a6>==6:54o327>5<#9j<1=hh4n0a6>3=6854o324>5<#9j<1=hh4n0a6>1=6>54o32:>5<#9j<1=hh4n0a6>7=6<54o0d3>5<#9j<1=hh4n0a6>5=52083>5}K::;1;v*:ag86`g=#<"3>80:ii5+5ed94>"2l=02>6`:d484g>{#:8;1=o94Z8297~4f2;h1>i4ri`494?"6k?0j;6`>c48b?>oe<3:1(2=c78b3>h6k<0=76gmf;29 4e12h=0b5$0a5>d16>54ib094?"6k?0j;6`>c481?>od<3:1(d=c78`3>h6k<0276gk9;29 4e12j=0b5$0a5>f16;54iea94?"6k?0h;6`>c486?>ocl3:1(7=c78`3>h6k<0:76gl8;29 4e12j=0b<:18'5f0=9ll0b9:18'5f0=9ll0b7:18'5f0=9ll0b290/=n851dd8j4e22;10c?>m:18'5f0=9ll0b>?57z&6ec<50?1/88k5a49'1`6=92.?:<4>ee9'1a`=82.>h9462:l6`0<0k2w/>5$0a5>d16454ic`94?"6k?0j;6`>c48;?>oek3:1(10eoj50;&2g30=c78b3>h6k<0?76gl1;29 4e12h=0b5$0a5>d16<54i`:94?"6k?0j;6`>c483?>od>3:1(==c78`3>h6k<0<76gkb;29 4e12j=0b5$0a5>f16954ieg94?"6k?0h;6`>c480?>ocn3:1(50;&2g3;:k`5=6l54o0da>5<#9j<1=hh4n0a6><=6554o320>5<#9j<1=hh4n0a6>2=6;54o325>5<#9j<1=hh4n0a6>0=6954o32;>5<#9j<1=hh4n0a6>6=6?54o32a>5<#9j<1=hh4n0a6>4=6=54}c36`?6=k3:1"2m9087):91;3f`>"2lo0;7);k4;;1?k3c=3<27p*=10821a=]1909w8955b8~md0=83.:o;4me:l2g0<232ci87>5$0a5>gc6954ic`94?"6k?0ii6`>c480?>oek3:1(;:kab?6=,8i=6ok4n0a6>5=6854o0da>5<#9j<1>=;4n0a6>1=6>54o320>5<#9j<1>=;4n0a6>7=6<54o325>5<#9j<1>=;4n0a6>5=i7>5c;294~J5;80"3=l0j96*:e180?!2193;nh6*:dg83?!3c<3397c;k5;5:?x"5980:9h5U918101==j0vel850;&2g31=c78aa>h6k<0876gmc;29 4e12ko0b5$0a5>gc6=54o0gf>5<#9j<1>=;4n0a6>0=6954o321>5<#9j<1>=;4n0a6>6=6?54o327>5<#9j<1>=;4n0a6>4=6=54}c3a5?6=:80;6=uC22393~"2io0>ho5+44g9e0=#=l:1>6*;6082aa=#=ml1<6*:d58:6>h2l<0c78b3>h6k<0j76gm4;29 4e12h=0b5$0a5>d16:54icf94?"6k?0j;6`>c485?>oen3:1(50;&2g36=c78b3>h6k<0976gl4;29 4e12h=0b=83.:o;4n7:l2g0<732ch:7>5$0a5>f16l54ie094?"6k?0h;6`>c48:?>oc13:1(3=c78`3>h6k<0>76gkd;29 4e12j=0b5$0a5>f16?54id294?"6k?0h;6`>c482?>od03:1(=:18'5f0=9ll0b10c?>;:18'5f0=9ll0b8:18'5f0=9ll0b6:18'5f0=9ll0b<4?:1yO667=?r.>mk4=879'00c=i<1/9h>52:&724<6mm1/9ih50:&6`1<>:2d>h848c:'647=9?n0V4>53z0b>7d=:m0vel850;&2g3<=c78b3>h6k<0376gmc;29 4e12h=0b5$0a5>d16854ib294?"6k?0j;6`>c487?>od93:1(4=c78b3>h6k<0;76gl6;29 4e12j=0b32co57>5$0a5>f16554iec94?"6k?0h;6`>c484?>ocj3:1(1=c78`3>h6k<0876gkf;29 4e12j=0b5$0a5>f16=54o0gf>5<#9j<1=hh4n0a6>d=6454o321>5<#9j<1=hh4n0a6>==6:54o327>5<#9j<1=hh4n0a6>3=6854o324>5<#9j<1=hh4n0a6>1=6>54o32:>5<#9j<1=hh4n0a6>7=6<54o0d3>5<#9j<1=hh4n0a6>5=7>52083>5}K::;1;v*:ag86`g=#<"3>80:ii5+5ed94>"2l=02>6`:d4840>{#:8;1=o<4Z8297~4f2;h1>i4ri`494?"6k?0j;6`>c48b?>oe<3:1(2=c78b3>h6k<0=76gmf;29 4e12h=0b5$0a5>d16>54ib094?"6k?0j;6`>c481?>od<3:1(d=c78`3>h6k<0276gk9;29 4e12j=0b5$0a5>f16;54iea94?"6k?0h;6`>c486?>ocl3:1(7=c78`3>h6k<0:76gl8;29 4e12j=0b<:18'5f0=9ll0b9:18'5f0=9ll0b7:18'5f0=9ll0b290/=n851dd8j4e22;10c?>m:18'5f0=9ll0b>?57z&6ec<50?1/88k5a49'1`6=:2.?:<4>ee9'1a`=82.>h9462:l6`0<0<2w/>5$0a5>d16454ic`94?"6k?0j;6`>c48;?>oek3:1(10eoj50;&2g30=c78b3>h6k<0?76gl1;29 4e12h=0b5$0a5>d16<54i`:94?"6k?0j;6`>c483?>od>3:1(==c78`3>h6k<0<76gkb;29 4e12j=0b5$0a5>f16954ieg94?"6k?0h;6`>c480?>ocn3:1(50;&2g3;:k`5=6l54o0da>5<#9j<1=hh4n0a6><=6554o320>5<#9j<1=hh4n0a6>2=6;54o325>5<#9j<1=hh4n0a6>0=6954o32;>5<#9j<1=hh4n0a6>6=6?54o32a>5<#9j<1=hh4n0a6>4=6=54}c3a7?6=:80;6=uC22393~"2io0>ho5+44g9e0=#<4d43S3;6>u=a;0a>7b=u`k=6=4+1b49e2=i9j?1m65fb583>!7d>3k<7c?l5;;8?lde290/=n85a69m5f3=021bnn4?:%3`2?g03g;h9794;h`g>5<#9j<1m:5a1b792>=njo0;6)?l6;c4?k7d=3?07dm?:18'5f0=i>1e=n;54:9jg4<72-;h:7o8;o3`1?5<3`i96=4+1b49e2=i9j?1>65fc583>!7d>3k<7c?l5;38?lg?290/=n85a69m5f3=821bo;4?:%3`2?e03g;h97o4;hf1>5<#9j<1o:5a1b79=>=nl00;6)?l6;a4?k7d=3207djn:18'5f0=k>1e=n;57:9j`g<72-;h:7m8;o3`1?0<3`nh6=4+1b49g2=i9j?1965fde83>!7d>3i<7c?l5;68?lbb290/=n85c69m5f3=;21bhk4?:%3`2?e03g;h97<4;hg3>5<#9j<1o:5a1b795>=nk10;6)?l6;a4?k7d=3:07b?je;29 4e128om7c?l5;c8?j7aj3:1(3:1(q/9lh55e`8 13b2h?0(9;i:b78 10628oo7);kf;28 0b32080b8j::9a8y!4693;i46T60;1x6d<5j38o6pgn6;29 4e12h=0b32cin7>5$0a5>d16554ica94?"6k?0j;6`>c484?>oel3:1(1=c78b3>h6k<0876gl2;29 4e12h=0b5$0a5>d16=54ib494?"6k?0h;6`>c48b?>oc:3:1(2=c78`3>h6k<0=76gkc;29 4e12j=0b5$0a5>f16>54ied94?"6k?0h;6`>c481?>ob83:1(4?:%3`2?7bn2d:o848;:m141<72-;h:7?jf:l2g0<132e9<;4?:%3`2?7bn2d:o84:;:m142<72-;h:7?jf:l2g0<332e9<54?:%3`2?7bn2d:o84<;:m14<<72-;h:7?jf:l2g0<532e9;:m2b5<72-;h:7?jf:l2g0<732wi=>;50;a94?6|D;9:6:u+5`d96=0<,=?n6l;4$4g3>6=#5=#=m>15?5a5e79<4=z,;;:6<=:;[;3>7}2?3?h6pgn6;29 4e12ko0b5$0a5>gc6>54ica94?"6k?0ii6`>c481?>oel3:1(4?:%3`2?47=2d:o84=;:m141<72-;h:74cc3-?oj7>4$4f7><46494}%025?70;2P2<74n0a6>`=c78a4>h6k<0o76gl0;29 4e12k:0b7>5$0a5>g66l54ib694?"6k?0i<6`>c48:?>of03:1(4n0a6>3=c78a4>h6k<0>76gnc;29 4e12k:0b5$0a5>g66?54i`d94?"6k?0i<6`>c482?>oe93:1(!7d>3;m46`>c4824>=h:991<7*>c782b==i9j?1j65`21694?"6k?0:j55a1b79a>=h:9<1<7*>c782b==i9j?1h65`21594?"6k?0:j55a1b79g>=h:921<7*>c782b==i9j?1n65`21;94?"6k?0:j55a1b79e>=h:9h1<7*>c782b==i9j?1565`1g294?"6k?0:j55a1b79<>=h9o;1<7*>c782b==i9j?1;65`1g094?"6k?0:j55a1b792>=h9o91<7*>c782b==i9j?1965`1g694?"6k?0:j55a1b790>=h9o?1<7*>c782b==i9j?1?65`1g494?"6k?0:j55a1b796>=h9o=1<7*>c782b==i9j?1=65`1g;94?"6k?0:j55a1b794>=zj83;6=4l:183I4493=p(9;j:`78 0c7211/8;?5749'1d`=;>;0(8l?:4`2?!3c<3397c;k5;5`?x"5980:5=5U91827g=u`k=6=4+1b49e2=i9j?1m65fb583>!7d>3k<7c?l5;;8?lde290/=n85a69m5f3=021bnn4?:%3`2?g03g;h9794;h`g>5<#9j<1m:5a1b792>=njo0;6)?l6;c4?k7d=3?07dm?:18'5f0=i>1e=n;54:9jg4<72-;h:7o8;o3`1?5<3`i96=4+1b49e2=i9j?1>65fc583>!7d>3k<7c?l5;38?lg?290/=n85a69m5f3=821d;84?::a5<0=83i1<7>tL312>2}#<"3>80<;6*:ag876a=#=k:19o?4$4f7><46:m4}%025?7>>2P2<7?t2`8~md0=83.:o;4n7:l2g05$0a5>d16454ic`94?"6k?0j;6`>c48;?>oek3:1(10eoj50;&2g30=c78b3>h6k<0?76gl1;29 4e12h=0b5$0a5>d16<54i`:94?"6k?0j;6`>c483?>i0?3:17pl>9083>41=83:p@?=>:6y'00c=i<1/88h5c49'037=?<1/9lh53638 0d725$0a5>d16454ic`94?"6k?0j;6`>c48;?>oek3:1(10eoj50;&2g30=c78b3>h6k<0?76gl1;29 4e12h=0b5$0a5>d16<54i`:94?"6k?0j;6`>c483?>od>3:1(==c78`3>h6k<0<76gkb;29 4e12j=0b5$0a5>f16954ieg94?"6k?0h;6`>c480?>ocn3:1(50;&2g3;:k`5=?1<75rb0;4>5<6?3:17)::f;a6?!2193=<7);nf;61`>"2j90>n<5+5e69=7=i=m?1;n5r$332>4?03S3;6?u=a;0a>xof>3:1(==c78b3>h6k<0<76gmd;29 4e12h=0b5$0a5>d16954ib394?"6k?0j;6`>c480?>od:3:1(;:kb5=c78`3>h6k<0j76gk2;29 4e12j=0b5$0a5>f16:54ie`94?"6k?0h;6`>c485?>ock3:1(6=c78`3>h6k<0976gj0;29 4e12j=0b=83.:o;4l7:l2g0<732e<;7>5;|`2=7<72j0;6=uC22393~"3=l0j96*:e1810>"3>80<96*:ag8034=#=k:19o?4$4f7><46::4}%025?7>:2P2<7?t2`8~md0=83.:o;4n7:l2g05$0a5>d16454ic`94?"6k?0j;6`>c48;?>oek3:1(10eoj50;&2g30=c78b3>h6k<0?76gl1;29 4e12h=0b5$0a5>d16<54i`:94?"6k?0j;6`>c483?>i0=3:17pl>9983>f<729qG>>?57z&71`i=4=8:&724<0?2.>mk4;2e9'1g6==k;0(8j;:808j0b22>>0q)<>1;3:<>\>83;p>l4ri`494?"6k?0j;6`>c48b?>oe<3:1(2=c78b3>h6k<0=76gmf;29 4e12h=0b5$0a5>d16>54ib094?"6k?0j;6`>c481?>od<3:1(4?:0594?6|D;9:6:u+44g9e0=#<0d63-?o877=;o7g1?133t.9=<4>929Y=5<5s;k1>o4ri`494?"6k?0j;6`>c48b?>oe<3:1(2=c78b3>h6k<0=76gmf;29 4e12h=0b5$0a5>d16>54ib094?"6k?0j;6`>c481?>od<3:1(d=c78`3>h6k<0276gk9;29 4e12j=0b5$0a5>f16;54iea94?"6k?0h;6`>c486?>ocl3:1(7=c78`3>h6k<0:76gl8;29 4e12j=0b>j7m:;%655?103-?jj7:=d:&6f5<2j81/9i:5939m1a3=?=1v(??>:0;:?_?72;q9m7d=1<7*>c78b3>h6k<0276gmb;29 4e12h=0b5$0a5>d16;54icd94?"6k?0j;6`>c486?>od83:1(7=1<7*>c78b3>h6k<0:76gn8;29 4e12h=0b7>5$0a5>f16454ie;94?"6k?0h;6`>c48;?>oci3:1(10eil50;&2g30=c78`3>h6k<0?76gke;29 4e12j=0b5$0a5>f16<54ib:94?"6k?0h;6`>c483?>i0?3:17pl>9`83>f<729qG>>?57z&71`i=4=3:&724<0?2.>mk4;2e9'1g6==k;0(8j;:808j0b22?i0q)<>1;3:e>\>83;p>l4ri`494?"6k?0j;6`>c48b?>oe<3:1(2=c78b3>h6k<0=76gmf;29 4e12h=0b5$0a5>d16>54ib094?"6k?0j;6`>c481?>od<3:1(5}K::;1;v*;5d8b1>"2m90996*;60841>"2io08;<5+5c291g7<,3e<=c78b3>h6k<0376gmc;29 4e12h=0b5$0a5>d16854ib294?"6k?0j;6`>c487?>od93:1(4=c78b3>h6k<0;76a85;29?xd61k0;6n4?:1yO667=?r.?9h4n5:&6a5<73->==798;%7bb?25l2.>n=4:b09'1a2=1;1e9i;5659~ 776283i7W7?:0y1e?{ni?0;6)?l6;c4?k7d=3k07dl;:18'5f0=i>1e=n;59:9jfg<72-;h:7o8;o3`1?><3`hh6=4+1b49e2=i9j?1;65fbe83>!7d>3k<7c?l5;48?lda290/=n85a69m5f3==21bo=4?:%3`2?g03g;h97:4;ha2>5<#9j<1m:5a1b797>=nk;0;6)?l6;c4?k7d=3807dm;:18'5f0=i>1e=n;51:9je=<72-;h:7o8;o3`1?6<3f=<6=44}c3:1?6=k3:17);j0;d8 1062>?0(8oi:252?!3e83?i=6*:d58:6>h2l<0=86s+20395<3d=1<7*>c78b3>h6k<0276gmb;29 4e12h=0b5$0a5>d16;54icd94?"6k?0j;6`>c486?>od83:1(7=1<7*>c78b3>h6k<0:76gn8;29 4e12h=0b5<7sE88=79t$57f>d3<,0bd3-?o877=;o7g1?g63t.9=<4>7b9Y=5<6s;k1qdo9:18'5f0=i>1e=n;5a:9jf1<72-;h:7o8;o3`1??<3`hi6=4+1b49e2=i9j?1465fbb83>!7d>3k<7c?l5;58?ldc290/=n85a69m5f3=>21bnk4?:%3`2?g03g;h97;4;ha3>5<#9j<1m:5a1b790>=nk80;6)?l6;c4?k7d=3907dm=:18'5f0=i>1e=n;52:9jg1<72-;h:7o8;o3`1?7<3`k36=4+1b49e2=i9j?1<65`7483>>{e91>1<7m50;2xH7562>q/88k5a49'1`6=m2.?:<487:&6ec<3:m1/9o>55ea8 0b32080b8j::`38y!4693;386T60;3x6dc78b3>h6k<0j76gm4;29 4e12h=0b5$0a5>d16:54icf94?"6k?0j;6`>c485?>oen3:1(50;&2g36=c78b3>h6k<0976gl4;29 4e12h=0b=83.:o;4n7:l2g0<732e<;7>5;|`2<0<72j0;6=uC22393~"3=l0j96*:e1813>"3>80<;6*:ag876a=#=k:19im4$4f7><46l?4}%025?7?=2P2<7?t2`8~md0=83.:o;4n7:l2g05$0a5>d16454ic`94?"6k?0j;6`>c48;?>oek3:1(10eoj50;&2g30=c78b3>h6k<0?76gl1;29 4e12h=0b5$0a5>d16<54i`:94?"6k?0j;6`>c483?>i0?3:17pl>7e83>f<729qG>>?57z&71`i=4=6:&724<0=2.>mk4<709'1g6==mi0(8j;:808j0b22h;0q)<>1;34`>\>83;p>l4ri`494?"6k?0j;6`>c48b?>oe<3:1(2=c78b3>h6k<0=76gmf;29 4e12h=0b5$0a5>d16>54ib094?"6k?0j;6`>c481?>od<3:1(5}K::;1;v*;5d8b1>"2m90:<6*;60841>"2io08;<5+5c291ae<,d4<=c78b3>h6k<0376gmc;29 4e12h=0b5$0a5>d16854ib294?"6k?0j;6`>c487?>od93:1(4=c78b3>h6k<0;76a85;29?xd60?0;6n4?:1yO667=?r.?9h4n5:&6a5<502.?:<487:&6ec<3:m1/9o>55ea8 0b32080b8j::`08y!4693;3:6T60;3x6dc78b3>h6k<0j76gm4;29 4e12h=0b5$0a5>d16:54icf94?"6k?0j;6`>c485?>oen3:1(50;&2g36=c78b3>h6k<0976gl4;29 4e12h=0b=83.:o;4n7:l2g0<732e<;7>5;|`23c<728=1<7>tL312>2}#<c78b3>h6k<0j76gm4;29 4e12h=0b5$0a5>d16:54icf94?"6k?0j;6`>c485?>oen3:1(50;&2g36=c78b3>h6k<0976gl4;29 4e12h=0b=83.:o;4n7:l2g0<732ch:7>5$0a5>f16l54ie094?"6k?0h;6`>c48:?>oc13:1(3=c78`3>h6k<0>76gkd;29 4e12j=0b5$0a5>f16?54id294?"6k?0h;6`>c482?>od03:1(0290:;7>50zN174<0s->>i7o:;%66b?e23->==798;%7bb?25l2.>n=4:db9'1a2=1;1e9i;5a39~ 776282<7W7?:3y1e?4e2tcj:7>5$0a5>d16l54ic694?"6k?0j;6`>c48:?>oej3:1(3=c78b3>h6k<0>76gl0;29 4e12h=0b7>5$0a5>d16?54ib694?"6k?0j;6`>c482?>of03:1(<=c78`3>h6k<0376gka;29 4e12j=0b5$0a5>f16854ief94?"6k?0h;6`>c487?>ocm3:1(4=c78`3>h6k<0;76a87;29?xd6090;6n4?:1yO667=?r.?9h4n5:&6a5==79:;%7bb?5092.>n=4:db9'1a2=1;1e9i;5a59~ 776282;7W7?:0y1e?{ni?0;6)?l6;c4?k7d=3k07dl;:18'5f0=i>1e=n;59:9jfg<72-;h:7o8;o3`1?><3`hh6=4+1b49e2=i9j?1;65fbe83>!7d>3k<7c?l5;48?lda290/=n85a69m5f3==21bo=4?:%3`2?g03g;h97:4;ha2>5<#9j<1m:5a1b797>=nk;0;6)?l6;c4?k7d=3807dm;:18'5f0=i>1e=n;51:9je=<72-;h:7o8;o3`1?6<3f=>6=44}c3;7);j0;`8 1062>=0(8oi:50g?!3e83?oo6*:d58:6>h2l<0j86s+20395=>d=1<7*>c78b3>h6k<0276gmb;29 4e12h=0b5$0a5>d16;54icd94?"6k?0j;6`>c486?>od83:1(7=1<7*>c78b3>h6k<0:76gn8;29 4e12h=0b:1823?6=8rF9?<48{%66a?g23->>j7m:;%655?123-?jj7=81:&6f5<2lj1/9i:5939m1a3=i=1v(??>:0:2?_?72;q9m7d=1<7*>c78b3>h6k<0276gmb;29 4e12h=0b5$0a5>d16;54icd94?"6k?0j;6`>c486?>od83:1(7=1<7*>c78b3>h6k<0:76gn8;29 4e12h=0b7>5$0a5>f16454ie;94?"6k?0h;6`>c48;?>oci3:1(10eil50;&2g30=c78`3>h6k<0?76gke;29 4e12j=0b5$0a5>f16<54ib:94?"6k?0h;6`>c483?>i0=3:17pl>8883>41=83:p@?=>:6y'00c=i<1/88h5c49'037=?>1/9lh543f8 0d725$0a5>d16454ic`94?"6k?0j;6`>c48;?>oek3:1(10eoj50;&2g30=c78b3>h6k<0?76gl1;29 4e12h=0b5$0a5>d16<54i`:94?"6k?0j;6`>c483?>od>3:1(==c78`3>h6k<0<76gkb;29 4e12j=0b5$0a5>f16954ieg94?"6k?0h;6`>c480?>ocn3:1(50;&2g3;:k`5==1<75rb0:1>5<6?3:17)::f;a6?!2193=>7);nf;145>"2j90>hn5+5e69=7=i=m?1m;5r$332>4>53S3;6?u=a;0a>xof>3:1(==c78b3>h6k<0<76gmd;29 4e12h=0b5$0a5>d16954ib394?"6k?0j;6`>c480?>od:3:1(;:kb5=c78`3>h6k<0j76gk2;29 4e12j=0b5$0a5>f16:54ie`94?"6k?0h;6`>c485?>ock3:1(6=c78`3>h6k<0976gj0;29 4e12j=0b=83.:o;4l7:l2g0<732e<97>5;|`2tL312>2}#<c78b3>h6k<0j76gm4;29 4e12h=0b5$0a5>d16:54icf94?"6k?0j;6`>c485?>oen3:1(50;&2g36=c78b3>h6k<0976gl4;29 4e12h=0b=83.:o;4n7:l2g0<732ch:7>5$0a5>f16l54ie094?"6k?0h;6`>c48:?>oc13:1(3=c78`3>h6k<0>76gkd;29 4e12j=0b5$0a5>f16?54id294?"6k?0h;6`>c482?>od03:1(4290:;7>50zN174<0s->>i7o:;%66b?e23->==79:;%7bb?5092.>n=4:db9'1a2=1;1e9i;5a79~ 77628287W7?:3y1e?4e2tcj:7>5$0a5>d16l54ic694?"6k?0j;6`>c48:?>oej3:1(3=c78b3>h6k<0>76gl0;29 4e12h=0b7>5$0a5>d16?54ib694?"6k?0j;6`>c482?>of03:1(<=c78`3>h6k<0376gka;29 4e12j=0b5$0a5>f16854ief94?"6k?0h;6`>c487?>ocm3:1(4=c78`3>h6k<0;76a85;29?xd60k0;6<950;2xH7562>q/88k5a49'00`=k<1/8;?5769'1d`=<;n0(8l?:4f`?!3c<3397c;k5;c5?x"5980:4o5U91817g=:k0vel850;&2g3<=c78b3>h6k<0376gmc;29 4e12h=0b5$0a5>d16854ib294?"6k?0j;6`>c487?>od93:1(4=c78b3>h6k<0;76gl6;29 4e12j=0b32co57>5$0a5>f16554iec94?"6k?0h;6`>c484?>ocj3:1(1=c78`3>h6k<0876gkf;29 4e12j=0b5$0a5>f16=54o6594?=zj8k:6=4l:183I4493=p(9;j:`78 0c7291/8;?5759'1d`=;>:0(8l?:4`2?!3c<3397c;k5;47?x"5980:m<5U91827g=u`k=6=4+1b49e2=i9j?1m65fb583>!7d>3k<7c?l5;;8?lde290/=n85a69m5f3=021bnn4?:%3`2?g03g;h9794;h`g>5<#9j<1m:5a1b792>=njo0;6)?l6;c4?k7d=3?07dm?:18'5f0=i>1e=n;54:9jg4<72-;h:7o8;o3`1?5<3`i96=4+1b49e2=i9j?1>65fc583>!7d>3k<7c?l5;38?lg?290/=n85a69m5f3=821d;94?::a5d1=83i1<7>tL312>2}#<"3>80<:6*:ag876f=#=k:19o?4$4f7><46;:4}%025?7f?2P2<7?t2`8~md0=83.:o;4n7:l2g05$0a5>d16454ic`94?"6k?0j;6`>c48;?>oek3:1(10eoj50;&2g30=c78b3>h6k<0?76gl1;29 4e12h=0b5$0a5>d16<54i`:94?"6k?0j;6`>c483?>i0>3:17pl>a383>f<729qG>>?57z&71`i=4=3:&724<0<2.>mk4<719'1g6==k;0(8j;:808j0b22?i0q)<>1;3b6>\>83;p>l4ri`494?"6k?0j;6`>c48b?>oe<3:1(2=c78b3>h6k<0=76gmf;29 4e12h=0b5$0a5>d16>54ib094?"6k?0j;6`>c481?>od<3:1(5}K::;1;v*;5d8b1>"2m90996*;60842>"2io0?>n5+5c291g7<,3e<=c78b3>h6k<0376gmc;29 4e12h=0b5$0a5>d16854ib294?"6k?0j;6`>c487?>od93:1(4=c78b3>h6k<0;76a86;29?xd6i00;6n4?:1yO667=?r.?9h4n5:&6a5<5<2.?:<486:&6ec<3:j1/9o>55c38 0b32080b8j::668y!4693;j56T60;3x6dc78b3>h6k<0j76gm4;29 4e12h=0b5$0a5>d16:54icf94?"6k?0j;6`>c485?>oen3:1(50;&2g36=c78b3>h6k<0976gl4;29 4e12h=0b=83.:o;4n7:l2g0<732e<:7>5;|`2e6<72j0;6=uC22393~"3=l0j96*:e181<>"3>80<86*:ag8035=#=k:19o?4$4f7><46::4}%025?7f;2P2<7?t2`8~md0=83.:o;4n7:l2g05$0a5>d16454ic`94?"6k?0j;6`>c48;?>oek3:1(10eoj50;&2g30=c78b3>h6k<0?76gl1;29 4e12h=0b5$0a5>d16<54i`:94?"6k?0j;6`>c483?>i0<3:17pl>a`83>41=83:p@?=>:6y'00c=i<1/88h5c49'037=??1/9lh543a8 0d725$0a5>d16454ic`94?"6k?0j;6`>c48;?>oek3:1(10eoj50;&2g30=c78b3>h6k<0?76gl1;29 4e12h=0b5$0a5>d16<54i`:94?"6k?0j;6`>c483?>od>3:1(==c78`3>h6k<0<76gkb;29 4e12j=0b5$0a5>f16954ieg94?"6k?0h;6`>c480?>ocn3:1(50;&2g3;:k`5=<1<75rb0c7>5<6?3:17)::f;a6?!2193=?7);nf;144>"2j90>n<5+5e69=7=i=m?1;95r$332>4g33S3;6?u=a;0a>xof>3:1(==c78b3>h6k<0<76gmd;29 4e12h=0b5$0a5>d16954ib394?"6k?0j;6`>c480?>od:3:1(;:kb5=c78`3>h6k<0j76gk2;29 4e12j=0b5$0a5>f16:54ie`94?"6k?0h;6`>c485?>ock3:1(6=c78`3>h6k<0976gj0;29 4e12j=0b=83.:o;4l7:l2g0<732e<87>5;|`2eg<72j0;6=uC22393~"3=l0j96*:e18;?!2193==7);nf;61g>"2j90>n<5+5e69=7=i=m?1;n5r$332>4ge3S3;6!7d>3k<7c?l5;:8?ldd290/=n85a69m5f3=?21bni4?:%3`2?g03g;h9784;h`e>5<#9j<1m:5a1b791>=nk90;6)?l6;c4?k7d=3>07dm>:18'5f0=i>1e=n;53:9jg7<72-;h:7o8;o3`1?4<3`i?6=4+1b49e2=i9j?1=65fa983>!7d>3k<7c?l5;28?j112900qo?n5;29g?6=8rF9?<48{%66a?g23-?n<7o4$542>22<,9?;%7a4?3e92.>h9462:l6`0<0k2w/>32cin7>5$0a5>d16554ica94?"6k?0j;6`>c484?>oel3:1(1=c78b3>h6k<0876gl2;29 4e12h=0b5$0a5>d16=54o6694?=zj8kh6=4>7;294~J5;807):91;55?!3fn3>9o6*:b186f4=#=m>15?5a5e793f=z,;;:67}5i38i6pgn6;29 4e12h=0b32cin7>5$0a5>d16554ica94?"6k?0j;6`>c484?>oel3:1(1=c78b3>h6k<0876gl2;29 4e12h=0b5$0a5>d16=54ib494?"6k?0h;6`>c48b?>oc:3:1(2=c78`3>h6k<0=76gkc;29 4e12j=0b5$0a5>f16>54ied94?"6k?0h;6`>c481?>ob83:1(4$4`3>0d63-?o877=;o7g1?1d3t.9=<4>a79Y=5<5s;k1>o4ri`494?"6k?0j;6`>c48b?>oe<3:1(2=c78b3>h6k<0=76gmf;29 4e12h=0b5$0a5>d16>54ib094?"6k?0j;6`>c481?>od<3:1(d=c78`3>h6k<0276gk9;29 4e12j=0b5$0a5>f16;54iea94?"6k?0h;6`>c486?>ocl3:1(7=c78`3>h6k<0:76gl8;29 4e12j=0b20<,h9462:l6`0<>;2w/><6pgn6;29 4e12k80b1:9jff<72-;h:7l=;o3`1?7732cih7>5$0a5>g46k54icd94?"6k?0i>6`>c48f?>od83:1(g=1<7*>c78a6>h6k<0j76gn8;29 4e12k80b5$0a5>g46:54i``94?"6k?0i>6`>c485?>ofk3:1(6=c78a6>h6k<0976gm1;29 4e12k80b5;|`2e5<728?1<7>tL312>2}#<"3>80<96*:ag8034=#=k:19im4$4f7><464=4}%025?7f82P2<7?t468~md0=83.:o;4m2:l2g0<6;21bn94?:%3`2?d53g;h97?=;:kaf?6=,8i=6o<4n0a6>47<3`hh6=4+1b49f7=i9j?1==54icf94?"6k?0i>6`>c48e?>oen3:1(50;&2g3f=c78a6>h6k<0i76gl4;29 4e12k80b=83.:o;4m2:l2g0<>32cj57>5$0a5>g46554i`c94?"6k?0i>6`>c484?>ofj3:1(1=c78a6>h6k<0876gnf;29 4e12k80b5$0a5>g46=54o6794?=zj82h6=4l:183I4493=p(9;j:`78 0c7291/8;?5749'1d`=;>;0(8l?:4`2?!3c<3397c;k5;:5?x"5980:4n5U91827g=u`k=6=4+1b49e2=i9j?1m65fb583>!7d>3k<7c?l5;;8?lde290/=n85a69m5f3=021bnn4?:%3`2?g03g;h9794;h`g>5<#9j<1m:5a1b792>=njo0;6)?l6;c4?k7d=3?07dm?:18'5f0=i>1e=n;54:9jg4<72-;h:7o8;o3`1?5<3`i96=4+1b49e2=i9j?1>65fc583>!7d>3k<7c?l5;38?lg?290/=n85a69m5f3=821d;84?::a5=`=83i1<7>tL312>2}#<"3>80<;6*:ag876a=#=k:19o?4$4f7><465;4}%025?7?n2P2<7?t2`8~md0=83.:o;4n7:l2g05$0a5>d16454ic`94?"6k?0j;6`>c48;?>oek3:1(10eoj50;&2g30=c78b3>h6k<0?76gl1;29 4e12h=0b5$0a5>d16<54i`:94?"6k?0j;6`>c483?>i0?3:17pl>8e83>2<729qG>>?57z&71`i=4?;%655?123-?jj7=81:&6f5<2j81/9i:5939m1a3=0=1v(??>:0:g?_?728q>;7sfa783>!7d>3hn7c?l5;78?ld3290/=n85bd9m5f3=<21bno4?:%3`2?db3g;h97=4;h``>5<#9j<1nh5a1b796>=njm0;6)?l6;`f?k7d=3;07dli:18'5f0=jl1e=n;50:9l30<722wi=5k50;a94?6|D;9:6:u+44g9e0=#=l:1<6*;60840>"2io08;=5+5c291g7<,=3<=c78b3>h6k<0376gmc;29 4e12h=0b5$0a5>d16854ib294?"6k?0j;6`>c487?>od93:1(4=c78b3>h6k<0;76a84;29?xd61o0;6:4?:1yO667=?r.?9h4n5:&6a5<73->==799;%7bb?25k2.>n=4:b09'1a2=1;1e9i;5859~ 776283m7W7?:0y63?{ni?0;6)?l6;`f?k7d=3?07dl;:18'5f0=jl1e=n;54:9jfg<72-;h:7lj;o3`1?5<3`hh6=4+1b49f`=i9j?1>65fbe83>!7d>3hn7c?l5;38?lda290/=n85bd9m5f3=821d;;4?::a5tL312>2}#<"3>80<96*:ag8034=#=k:19o?4$4f7><465m4}%025?7>k2P2<7?t2`8~md0=83.:o;4n7:l2g05$0a5>d16454ic`94?"6k?0j;6`>c48;?>oek3:1(10eoj50;&2g30=c78b3>h6k<0?76gl1;29 4e12h=0b5$0a5>d16<54i`:94?"6k?0j;6`>c483?>i0=3:17pl>9d83>f<729qG>>?57z&71`i=4?;%655?103-?jj7:=d:&6f5<2j81/9i:5939m1a3=0k1v(??>:0;f?_?728q9m7sfa783>!7d>3k<7c?l5;c8?ld3290/=n85a69m5f3=121bno4?:%3`2?g03g;h9764;h``>5<#9j<1m:5a1b793>=njm0;6)?l6;c4?k7d=3<07dli:18'5f0=i>1e=n;55:9jg5<72-;h:7o8;o3`1?2<3`i:6=4+1b49e2=i9j?1?65fc383>!7d>3k<7c?l5;08?le3290/=n85a69m5f3=921bm54?:%3`2?g03g;h97>4;n54>5<5c;294~J5;80:668 0ga2:=;7);m0;7a5>"2l=02>6`:d48;f>{#:8;1=4j4Z8295~4f2tcj:7>5$0a5>d16l54ic694?"6k?0j;6`>c48:?>oej3:1(3=c78b3>h6k<0>76gl0;29 4e12h=0b7>5$0a5>d16?54ib694?"6k?0j;6`>c482?>of03:1(290?6>49{%025?5312e8894?::k00d<722c89?4?::k05`<722h8854?:283>5}#:8;1;55G23g8m46e2900e<>l:188k4dc2900qo=;6;297?6=8r.9=<489:J16`=n99h1<75f11a94?=h9kn1<75rb264>5<4290;w)<>1;3aa>N5:l1b==l50;9j55e=831d=oj50;9~w6232909wS=;4:?002<6jm1v>:n:180[53i278854>0c9>710=99h0q~=:2;296~X4=;16?96511a8yv56m3:1>vP<1d9>710=99i0q~=;5;296~;4<10:ni52355955d52z?003<6jm16?99511a8yxd4<;0;694<:7y'647=;=80c>=k:188m62f2900e>;?:188m67d2900n>:>:180>5<7s-8:=797;I01a>o68k0;66g>0b83>>i6jm0;66sm32d94?5=83:p(??>:6;8L74b3`;;n7>5;h33g?6=3f;ih7>5;|`005<72:0;6=u+20395gc<@;8n7d??b;29?l77k3:17b?md;29?xu4;m0;6?uQ32f8962728ho7p}<4`83>6}Y;=k01>:>:02a?854n3;;n6s|34294?4|V:?;70=;1;33g>{t;8i1<7:6h50;0x965a28ho70=;0;33g>{zj:9h6=4;:285!469398o6a<3683>>o4>o49k0;66l<3c83>6<729q/>5<N5:l1b==l50;9j55e=831d=oj50;9~f65f29086=4?{%025?7em2B9>h5f11`94?=n99i1<75`1cf94?=z{:9<6=4={_103>;4;h0:ni5rs26b>5<4sW9?m63<3c824g=:;:31==l4}r17b?6=:rT88k5232`955e52z\05g=:;:31==m4}r10be9>76g=99h0q~=<9;296~;4;00:ni5232c955e3}#:8;1?>84o212>5<j6=44i26f>5<5<4290;w)<>1;5;?M45m2c:t$332>2?<@;8n7d??b;29?l77k3:17b?md;29?xd4;=0;6>4?:1y'647=9ko0D?5;n3a`?6=3ty8?<4?:3y]767<5:9?646e3498?7??b:p71c=838pR>:j;<101?77k2wx?=::0`g?854<3;;n6s|32194?4|5:986=?:187>6<1s-8:=7=<0:m06g<722c88l4?::k00a<722c8=44?::`06c<72:0;6=u+20393==O:;o0e<>m:188m46d2900c50z&154<012B9>h5f11`94?=n99i1<75`1cf94?=zj:8n6=4<:183!4693;ii6F=2d9j55d=831b==m50;9l5gb=831v>h4>be9~w62f2908wS=;a:?06c<68k16??j511`8yv53l3:1>vP<4e9>77`=99i0q~=>9;296~X49016??j511a8yv55k3:1>v3<2g82fa=:;;o1==l4}r11`?6=:r78>i4>be9>77c=99i0qpl<2`83>1<42?q/>:n:188m62d2900e>?7:188f64>29086=4?{%025?1?3A89i6g>0c83>>o68j0;66a>be83>>{e;;=1<7=50;2x 7762>30D?5;n3a`?6=3th8>54?:283>5}#:8;1=ok4H30f?l77j3:17d??c;29?j7el3:17p}<2483>7}Y;;?01><7:0`g?xu4uQ35c8964>28:i70==7;33f>{t;=i1<7{t;;<1<74dc349947??b:p771=838p1><8:0`g?85503;;o6srb3`e>5<32:0=w)<>1;0ab>i5jh0;66g:5983>>o4990;66g=8e83>>d5jl0;6>4?:1y'647=?11C>?k4i02a>5<5<53;294~"5980<56F=2d9j55d=831b==m50;9l5gb=831vn?lk:180>5<7s-8:=7?me:J16`=n99h1<75f11a94?=h9kn1<75rs3`b>5<5sW8im63=be82fa=z{;5jl0:52z\055=::ko1==m4}r0;`?6=:rT94i522ca955e52z?1f`<6jm16>oj511`8yv4ek3:1>v3=bb82fa=::kn1==m4}|`1f=<72=086;u+20396g>5<5<o;50;194?6|,;;:6:74H30f?l77j3:17d??c;29?j7el3:17pl=b783>6<729q/>5;h33g?6=3f;ih7>5;|q1f6<72;qU>o=4=3`5>4dc3ty>954?:2y]10><5;h<6<>m;<0a1?77j2wx?=k50;0xZ66b348i;7??c:p6=d=838pR?6m;<0a1?77k2wx>o:50;0x97d028ho70{t:k?1<74dc348i:7??c:~f7d5290?6>49{%025?4e:2e9mi4?::k61=<722c85}#:8;1;55G23g8m46e2900e<>l:188k4dc2900qo5<4290;w)<>1;3aa>N5:l1b==l50;9j55e=831d=oj50;9~w7gc2909wS0c9>6d`=99h0q~=?d;296~X48m16>o?511a8yv4?i3:1>vP=8`9>6d`=99i0q~52z?1ec<6jm16>o>511a8yxd5ij0;694<:7y'647=:hi0c?o8:188m03?2900e>>l:188m7>>2900n?om:180>5<7s-8:=797;I01a>o68k0;66g>0b83>>i6jm0;66sm2`;94?5=83:p(??>:6;8L74b3`;;n7>5;h33g?6=3f;ih7>5;|`1ed<72:0;6=u+20395gc<@;8n7d??b;29?l77k3:17b?md;29?xu5i>0;6?uQ2`5897gf28ho7p}:5983>6}Y=<201?om:02a?84f13;;n6s|31a94?4|V::h70{t:131<7l750;0x97g>28ho70{zj;k=6=4;:285!46938j:6a=a083>>o2=10;66g<0c83>>o5010;66l=a483>6<729q/>5<N5:l1b==l50;9j55e=831d=oj50;9~f7g329086=4?{%025?7em2B9>h5f11`94?=n99i1<75`1cf94?=z{;k:6=4={_0b5>;5i=0:ni5rs47;>5<4sW?>463=a4824g=::h91==l4}r13f?6=:rT852z\1<==::h91==m4}r0b6?6=:r79m84>be9>6d2=99h0q~3}#:8;1>l>4o3;a>5<5<5<4290;w)<>1;5;?M45m2c:t$332>2?<@;8n7d??b;29?l77k3:17b?md;29?xd51l0;6>4?:1y'647=9ko0D?5;n3a`?6=3ty95o4?:3y]646e3482h7??b:p75g=838pR>>n;<0:b?77k2wx>5950;0xZ7>03482h7??c:p6m3;;n6s|28f94?4|5;3o66<1s-8:=7m:188m46d2900c50z&154<012B9>h5f11`94?=n99i1<75`1cf94?=zj;o96=4<:183!4693;ii6F=2d9j55d=831b==m50;9l5gb=831v?ji:181[4cn279i?4>be9~w1ce2908wS:jb:?1a6<68k16>h?511`8yv56?3:1>vP<169>6`5=99i0q~h?511a8yv4b83:1>v3=e282fa=::l81==l4}r0f5?6=:r79i<4>be9>6`4=99i0qpl=de83>1<42?q/>0c83>>o68j0;66a>be83>>{e:mk1<7=50;2x 7762>30D?5;n3a`?6=3th9ho4?:283>5}#:8;1=ok4H30f?l77j3:17d??c;29?j7el3:17p}=d983>7}Y:m201?jm:0`g?xu3mk0;6>uQ4d`897bd28:i70{t;8?1<7{t:m31<74dc348on7??b:p6ag=838p1?jn:0`g?84cj3;;o6srb3f4>5<32:0=w)<>1;0g3>i5l;0;66g;ec83>>o49=0;66g=ae83>>d5l?0;6>4?:1y'647=?11C>?k4i02a>5<5<53;294~"5980<56F=2d9j55d=831b==m50;9l5gb=831vn?j::180>5<7s-8:=7?me:J16`=n99h1<75f11a94?=h9kn1<75rs3f1>5<5sW8o>63=d482fa=z{=oi6=4<{_6ff>;5l?0:52z\051=::m<1==m4}r0b`?6=:rT9mi522e6955e52z?1`3<6jm16>i;511`8yv4c<3:1>v3=d582fa=::m?1==m4}|`1`4<72=086;u+20396a75<5<nk50;194?6|,;;:6:74H30f?l77j3:17d??c;29?j7el3:17pl=cg83>6<729q/>5;h33g?6=3f;ih7>5;|q1gf<72;qU>nm4=3ae>4dc3ty?io4?:2y]0`d<5;n;6<>m;<0`a?77j2wx?<=50;0xZ674348o<7??c:p6d1=838pR?o8;<0`a?77k2wx>nj50;0x97b728ho70{t:jo1<74dc348hj7??c:~f7ee290?6>49{%025?4dj2e9o;4?::k7ag<722c8=?4?::k1e4<722h9ol4?:283>5}#:8;1;55G23g8m46e2900e<>l:188k4dc2900qo5<4290;w)<>1;3aa>N5:l1b==l50;9j55e=831d=oj50;9~w7e12909wS0c9>6f>=99h0q~=>2;296~X49;16>no511a8yv4f93:1>vP=a09>6f>=99i0q~52z?1g=<6jm16>n7511a8yxd5k<0;694<:7y'647=:j?0c?m?:188m1ce2900e>?>:188m7?e2900n?m;:180>5<7s-8:=797;I01a>o68k0;66g>0b83>>i6jm0;66sm2b094?5=83:p(??>:6;8L74b3`;;n7>5;h33g?6=3f;ih7>5;|`1g6<72:0;6=u+20395gc<@;8n7d??b;29?l77k3:17b?md;29?xu5k90;6?uQ2b2897e428ho7p};ec83>6}Y{t:0h1<7n<50;0x97e528ho70{zj::26=4;:285!46939;56a<0583>>o41k0;66g=9`83>>o5lo0;66l<0983>6<729q/>5<N5:l1b==l50;9j55e=831d=oj50;9~f66029086=4?{%025?7em2B9>h5f11`94?=n99i1<75`1cf94?=z{::?6=4={_130>;48>0:ni5rs2;a>5<4sW92n63<09824g=:;9<1==l4}r0:e?6=:rT95l5231:955e52z\1`c=:;9<1==m4}r131?6=:r78<54>be9>751=99h0q~=?6;296~;48?0:ni52315955e3}#:8;1?=<4o3dg>5<5<5<4290;w)<>1;5;?M45m2c:t$332>2?<@;8n7d??b;29?l77k3:17b?md;29?xd4890;6>4?:1y'647=9ko0D?5;n3a`?6=3ty9ji4?:3y]6cb<5::;646e348mj7??b:p6<>=838pR?77;<135?77k2wx>i650;0xZ7b?348mj7??c:p6cc=838p1>>>:0`g?85783;;n6s|2gd94?4|5;lm66<1s-8:=7m:188m46d2900c50z&154<012B9>h5f11`94?=n99i1<75`1cf94?=zj;lj6=4<:183!4693;ii6F=2d9j55d=831b==m50;9l5gb=831v?h8:181[4a?279jl4>be9~w6?e2908wS=6b:?1bg<68k16>k7511`8yv4>?3:1>vP=969>6cd=99i0q~k7511a8yv4a03:1>v3=fc82fa=::ok1==l4}r0e=?6=:r79j44>be9>6cg=99i0qpl=f783>1<42?q/>7m:188m7?12900e?ml:188f7`229086=4?{%025?1?3A89i6g>0c83>>o68j0;66a>be83>>{e:o91<7=50;2x 7762>30D?5;n3a`?6=3th9j94?:283>5}#:8;1=ok4H30f?l77j3:17d??c;29?j7el3:17p}=f083>7}Y:o;01?h;:0`g?xu41k0;6>uQ38`897`228:i70{t:0<1<7{t:o81<74dc348m87??b:p6c5=838p1?h<:0`g?84a<3;;o6srb3d3>5<32:0=w)<>1;0e4>i5mk0;66g<9c83>>o51<0;66g=c783>>d5mo0;6>4?:1y'647=?11C>?k4i02a>5<5<53;294~"5980<56F=2d9j55d=831b==m50;9l5gb=831vn?kj:180>5<7s-8:=7?me:J16`=n99h1<75f11a94?=h9kn1<75rs3ga>5<5sW8nn63=ed82fa=z{:3i6=4<{_1:f>;5mo0:52z\1=0=::ll1==m4}r0`2?6=:rT9o;522df955e52z?1ac<6jm16>hk511`8yv4bl3:1>v3=ee82fa=::lo1==m4}|`1ad<72=086;u+20396`g6=44i2;a>5<5<h950;194?6|,;;:6:74H30f?l77j3:17d??c;29?j7el3:17pl=e983>6<729q/>5;h33g?6=3f;ih7>5;|q1a0<72;qU>h;4=3g;>4dc3ty85o4?:2y]7m;<0f3?77j2wx>4:50;0xZ7?3348n57??c:p6f6=838pR?m?;<0f3?77k2wx>h850;0x97c>28ho70{t:l=1<74dc348n47??c:~f6c>290>6;4n{%025?5b12e8i<4?::k76<<722c8ol4?::k0gf<722c8oh4?::`0a=<72:0;6=u+20393<=O:;o0e<>m:188m46d2900c50z&154<6jl1C>?k4i02a>5<5<53;294~"5980<56F=2d9j55d=831b==m50;9l5gb=831vn>k::180>5<7s-8:=7?me:J16`=n99h1<75f11a94?=h9kn1<75rb2g5>5<4290;w)<>1;5:?M45m2c:t$332>4db3A89i6g>0c83>>o68j0;66a>be83>>{t;l;1<7;4m>0:5<4sW9hm63m;|q0g`<72;qU?nk4=2g;>46d3ty8i?4?:3y>7`>=9kn01>k<:02`?xu6j00;6?u23d195gb<5:o?6<>l;|q2fd<72;q6?h:51cf896c228:h7p}>bc83>7}:;l?1=oj4=2g5>46d3ty:nn4?:3y>7`0=9kn01>k8:02`?x{e;o81<7;56;cx 7762:l97b=ja;29?l2513:17d=la;29?l5dk3:17d=le;29?g5a93:1?7>50z&154<012B9>h5f11`94?=n99i1<75`1cf94?=zj:oh6=4<:183!4693;ii6F=2d9j55d=831b==m50;9l5gb=831vn>kk:180>5<7s-8:=796;I01a>o68k0;66g>0b83>>i6jm0;66sm3dg94?5=83:p(??>:0`f?M45m2c:t$332>2?<@;8n7d??b;29?l77k3:17b?md;29?xd4n90;6>4?:1y'647=9ko0D?5;n3a`?6=3ty8il4?:3y]7`g<5:l;646e349m<7??b:p7fg=839pR>mn;<1f`?77j278ih4>0c9~w6ed2908wS=lc:?0b4<68k16?hm511`8yv5dm3:1>vP7c7=99i0q~=jb;296~;4n80:ni523da955e52z?0af<6jm16?hj511a8yv7ei3:1>v3be9>7``=99i0q~?mc;296~;4mo0:ni523g2955ed}#:8;1?kl4o2d0>5<5<5<o68k0;66g>0b83>>i6jm0;66sm3g494?5=83:p(??>:6;8L74b3`;;n7>5;h33g?6=3f;ih7>5;|`0b2<72:0;6=u+20395gc<@;8n7d??b;29?l77k3:17b?md;29?xd4n10;6>4?:1y'647=?01C>?k4i02a>5<5<53;294~"5980:nh5G23g8m46e2900e<>l:188k4dc2900q~=i3;296~X4n:16?k751cf8yv2513:1?vP;289>7c>=99h01>h6:02a?xu4kh0;6>uQ3bc896`128:i70=i7;33f>{t;ji1<7=t^2a`?85ai3;;n63;4nh0:5<5s49mm7?md:?0b0<68j1v0b9~w4de2909w0=i7;3a`>;4n10:5<5s49m47?md:?0b<<68j1vqo:?4;291?0=ir.9=<4;059l7ce=831b8?750;9j7fg=831b?nm50;9j7fc=831i8==50;194?6|,;;:6:74H30f?l77j3:17d??c;29?j7el3:17pl6<729q/>5;h33g?6=3f;ih7>5;|`0bc<72:0;6=u+20393<=O:;o0e<>m:188m46d2900c50z&154<6jl1C>?k4i02a>5<5<;=7>53;294~"5980<56F=2d9j55d=831b==m50;9l5gb=831vn9>=:180>5<7s-8:=7?me:J16`=n99h1<75f11a94?=h9kn1<75rs2d`>5<5sW9mo63;0382fa=z{=826=4<{_61=>;3880:53z\0gd=:;ol1==l4=523>46e3ty8on4?:2y]7fe<5=:86<>m;<1ea?77j2wx?nk50;0xZ6eb34>;?7??c:p7cb=838p19><:0`g?85am3;;o6s|1c;94?4|5:ln6{t9kh1<74dc34>;=7??c:p5ge=838p19>>:0`g?827:3;;o6srb52g>5<22?0jw)<>1;63`>i38<0;66g;2883>>o4kh0;66g>o4kl0;66l;0b83>6<729q/>5<m:188m46d2900c50z&154<012B9>h5f11`94?=n99i1<75`1cf94?=zj=:26=4<:183!4693;ii6F=2d9j55d=831b==m50;9l5gb=831vn9>n:180>5<7s-8:=796;I01a>o68k0;66g>0b83>>i6jm0;66sm41`94?5=83:p(??>:0`f?M45m2c::;<63f?7el2wx8?750;1xZ14>34>;m7??b:?74g<68k1v>mn:180[5di27?<54>0c9>05?=99h0q~=lc;297~X4kj168=m511`8916028:i7p}7}Y;jo019>l:02`?xu38?0;6?u241a95gb<5=:<6<>l;|q2f<<72;q68=951cf8916?28:h7p}>b`83>7}:<921=oj4=52:>46d3ty:no4?:3y>05?=9kn019>n:02`?xu6jj0;6?u241c95gb<5=:i6<>l;|a040=83?1:7ot$332>1713f>;i7>5;h61=?6=3`9hm7>5;h1`g?6=3`9hi7>5;c621?6=;3:1N5:l1b==l50;9j55e=831d=oj50;9~f17729086=4?{%025?7em2B9>h5f11`94?=n99i1<75`1cf94?=zj=;:6=4<:183!4693=27E<=e:k24g<722c:o68k0;66g>0b83>>i6jm0;66sm40194?5=83:p(??>:6;8L74b3`;;n7>5;h33g?6=3f;ih7>5;|`751<72:0;6=u+20395gc<@;8n7d??b;29?l77k3:17b?md;29?xu38l0;6?uQ41g8917328ho7p};2883>6}Y<;3019?<:02a?826<3;;n6s|3bc94?5|V:ij70:>1;33f>;39;0:5<4sW9ho63;14824g=:<8:1==l4}r1`a?6=:rT8oh52407955e;j7>52z?750<6jm168<>511a8yv7e13:1>v3;1182fa=:<8;1==m4}r3ae?6=:r7?=<4>be9>044=99i0q~?mb;296~;39;0:ni52401955e52z?756<6jm168<:511a8yxd39o0;6849:`y'647=<8l0c9?8:188m14>2900e>mn:188m6ed2900e>mj:188f17b29086=4?{%025?1>3A89i6g>0c83>>o68j0;66a>be83>>{e<831<7=50;2x 77628hn7E<=e:k24g<722c:6<729q/>5;h33g?6=3f;ih7>5;|`75f<72:0;6=u+20393<=O:;o0e<>m:188m46d2900c50z&154<6jl1C>?k4i02a>5<5<:;7>52z\752=:<8n1=oj4}r61=?6=;rT?>45240a955d<5=;o6<>m;|q0gd<72:qU?no4=53b>46e34>:n7??b:p7fe=839pR>ml;<62a?77j27?=44>0c9~w6eb2909wS=le:?75`<68j1v9?7:181826m3;ih63;18824f=z{8h26=4={<62=?7el27?=l4>0b9~w4df2909w0:>a;3a`>;39k0:5<5s4>:n7?md:?75f<68j1v947>55;49e~"5980?>55`43294?=n<;31<75f3bc94?=n;ji1<75f3bg94?=e<;=1<7=50;2x 7762>30D?5;n3a`?6=3th?>?4?:283>5}#:8;1=ok4H30f?l77j3:17d??c;29?j7el3:17pl;2283>6<729q/>5<m:188m46d2900c50z&154<012B9>h5f11`94?=n99i1<75`1cf94?=zj=8=6=4<:183!4693;ii6F=2d9j55d=831b==m50;9l5gb=831v9;4>be9~w14>2908wS:=9:?760<68k168?8511`8yv5di3:1?vP075=99h019<;:02a?xu4kj0;6>uQ3ba8914028:i70:=2;33f>{t;jo1<7{t9kk1<74dc34>987??c:p5gd=838p19<;:0`g?825=3;;o6s|1ca94?4|5=8>67<3s-8:=7;lb:m6g=<722c>n?4?::k6fd<722h>o44?:283>5}#:8;1;45G23g8m46e2900e<>l:188k4dc2900qo;la;297?6=8r.9=<4>bg9K67c5<o5525bc95gb7>53z\6f7=:=j31==m4=4ab>46e3ty>nl4?:3y]1gg<5m;|q6g<<72;q69n751cf890ef28:h7psm5c;94?>=;3kp(??>:4`:?j3e=3:17d;mb;29?l3d93:17d;mc;29?l3d:3:17d;le;29?l3c83:17d;m2;29?g3e>3:197>50z&154<0k2B9>h5f11`94?=n99i1<75f11f94?=n99o1<75`1cf94?=zj=8391<7>t$332>2><@;8n7d??b;29?l77k3:17b?md;29?xu2j<0;6?uQ5c7890d?28ho7p}:bc83>7}Y=kh018l9:02a?xu2k80;6?uQ5b3890d128:h7p}:bb83>7}Y=ki018l9:02g?xu2k;0;6?uQ5b0890d128:n7p}:cd83>7}Y=jo018l8:02g?xu2l90;6?uQ5e2890d028:h7p}:b383>7}Y=k8018l8:02a?xu2j?0;6?u25c495gb<5m;|q6f2<72;q69o951cf890d?28:h7psm51d94?0=83:p(??>:928L74b3`;;n7>5;h33g?6=3`;;h7>5;h33a?6=3`;;j7>5;n3a`?6=3th>5}#:8;14=5G23g8m46e2900e<>l:188m46c2900e<>j:188m46a2900c50z&154h5f11`94?=n99i1<75f11f94?=n99o1<75f11d94?=h9kn1<75rb42;>5<1290;w)<>1;:3?M45m2c:4H30f?l77j3:17d??c;29?l77l3:17d??e;29?l77n3:17b?md;29?xd28=0;6;4?:1y'647=091C>?k4i02a>5<5<5<3:1N5:l1b==l50;9j55e=831b==j50;9j55c=831b==h50;9l5gb=831vn8>?:185>5<7s-8:=76?;I01a>o68k0;66g>0b83>>o68m0;66g>0d83>>o68o0;66a>be83>>{e5;h33`?6=3`;;i7>5;h33b?6=3f;ih7>5;|`66`<72?0;6=u+2039<5=O:;o0e<>m:188m46d2900e<>k:188m46b2900e<>i:188k4dc2900qo;=c;292?6=8r.9=<470:J16`=n99h1<75f11a94?=n99n1<75f11g94?=n99l1<75`1cf94?=zj<8j6=49:183!46932;7E<=e:k24g<722c:=83<1<7>t$332>=6<@;8n7d??b;29?l77k3:17d??d;29?l77m3:17d??f;29?j7el3:17pl:2783>3<729q/>5<5<5<56;294~"59803<6F=2d9j55d=831b==m50;9j55b=831b==k50;9j55`=831d=oj50;9~f045290=6=4?{%025?>73A89i6g>0c83>>o68j0;66g>0e83>>o68l0;66g>0g83>>i6jm0;66sm53294?0=83:p(??>:928L74b3`;;n7>5;h33g?6=3`;;h7>5;h33a?6=3`;;j7>5;n3a`?6=3th>=>4?:783>5}#:8;14=5G23g8m46e2900e<>l:188m46c2900e<>j:188m46a2900c50z&154h5f11`94?=n99i1<75f11f94?=n99o1<75f11d94?=h9kn1<75rb26a>5<4290;w)<>1;3aa>N5:l1b==l50;9j55e=831d=oj50;9~f6?d290>6=4?{%025?1d3A89i6g>0c83>>o68j0;66g>0e83>>o68l0;66a>be83>>{e;h;1<7<50;2x 77628;?7E<=e:k24d<722e:ni4?::a167=83>1<7>t$332>2g<@;8n7d??b;29?l77k3:17d??d;29?j7el3:17pl:4483>1<729q/>5<5<54;294~"5980290?6=4?{%025?1f3A89i6g>0c83>>o68j0;66g>0e83>>i6jm0;66sm55`94?2=83:p(??>:6c8L74b3`;;n7>5;h33g?6=3`;;h7>5;n3a`?6=3th>8i4?:583>5}#:8;1;l5G23g8m46e2900e<>l:188m46c2900c50z&154<0i2B9>h5f11`94?=n99i1<75f11f94?=h9kn1<75rb472>5<3290;w)<>1;5b?M45m2c:?k4i02a>5<5<N5:l1b==l50;9j55e=831b==j50;9l5gb=831vn8=::187>5<7s-8:=79n;I01a>o68k0;66g>0b83>>o68m0;66a>be83>>{e=:=1<7:50;2x 7762>k0D?5;h33`?6=3f;ih7>5;|`67<<72=0;6=u+20393d=O:;o0e<>m:188m46d2900e<>k:188k4dc2900qo;1<7>t$332>2g<@;8n7d??b;29?l77k3:17d??d;29?j7el3:17pl:4083>1<729q/>5<5<54;294~"59806=4?{%025?1d3A89i6g>0c83>>o68j0;66g>0e83>>o68l0;66a>be83>>{e=<31<7=50;2x 77628hn7E<=e:k24g<722c:0;6>4?:1y'647=?11C>?k4i02a>5<5<53;294~"5980<46F=2d9j55d=831b==m50;9l5gb=831vn95<7s-8:=7?me:J16`=n99h1<75f11a94?=h9kn1<75rb2aa>5<2290;w)<>1;5`?M45m2c:t$332>2e<@;8n7d??b;29?l77k3:17d??d;29?l77m3:17b?md;29?xd4ko0;684?:1y'647=?j1C>?k4i02a>5<5<5<55;294~"5980:o=5G23g8m46e2900e<>l:188m46c2900e<>j:188k4dc2900qo;k1;291?6=8r.9=<4>c19K67c5<5<N5:l1b==l50;9j55e=831b==j50;9j55c=831b==h50;9j546=831b=h5f11`94?=n99i1<75`1cf94?=zj=9h6=4<:183!4693=37E<=e:k24g<722c:o68k0;66g>0b83>>i6jm0;66sm58:94?5=83:p(??>:6:8L74b3`;;n7>5;h33g?6=3f;ih7>5;|`6e7<72:0;6=u+20395gc<@;8n7d??b;29?l77k3:17b?md;29?xd3ml0;6;4?:1y'647=081C>?k4i02a>5<5<5<n:188k4dc2900qo;ld;296?6=8r.9=<4>159K67c5<?>7>52;294~"5980:=95G23g8m46f2900c7>50z&154<69=1C>?k4i02b>5<n:188k4dc2900qo;n0;296?6=8r.9=<4>159K67c5<;7>52;294~"5980:=95G23g8m46f2900c7>50z&154<69=1C>?k4i02b>5<n:188k4dc2900qo?96;296?6=8r.9=<4>159K67c5<52;294~"5980:=95G23g8m46f2900c7>50z&154<69=1C>?k4i02b>5<n:188k4dc2900qo?;2;296?6=8r.9=<4>159K67c5<52;294~"5980:=95G23g8m46f2900c7>50z&154<69=1C>?k4i02b>5<n:188k4dc2900qo?<9;296?6=8r.9=<4>159K67c5<52;294~"5980:=95G23g8m46f2900c7>50z&154<69=1C>?k4i02b>5<n:188k4dc2900qo?;a;296?6=8r.9=<4>159K67c5<52;294~"5980:=95G23g8m46f2900c3:1>7>50z&154<69=1C>?k4i02b>5<n:188k4dc2900qo?:c;296?6=8r.9=<4>159K67c5<57>52;294~"5980:=95G23g8m46f2900c7>50z&154<69=1C>?k4i02b>5<n:188k4dc2900qo?:3;296?6=8r.9=<4>159K67c5<=7>52;294~"5980:=95G23g8m46f2900c4623ty9=;4?:3y]640<5;;?6??9;|q2gd<72;qU=no4=333>4e33ty>o54?:3y>1g4=1k169nl55b:8yv3>i3:1>v3>9e840>;2i90:5<5s4?247?md:?6e7<68j1v9=i:18187?m3=?70:;4;33e>{t<:h1<74dc34>?:7??b:p1g4=83;2w0<>0;3`3>;2j;0:oo525b`91g4<5884>0e9>111=99n018:6:02g?833j3;;h63:4e824a=:==l1==j4=472>46c34?>?7??d:?610<68m169>=511f8905228:o70;<7;33`>;2;00:k;<70b?77l27>8<4>0e9>115=99n018l;:031?82bm3;;j6s|54494?73s4>3n77:;<6:5??234>2>77:;<6:7??234>2877:;<6:1??234>2:77:;<6:3??234>3=77:;<6;6??234>3?77:;<6;0??234>3977:;<6;2??234>3;77:;<6;3577:;<763?7el2wx9n?50;1x94g02><018l6:4a2?83e<3;;o6s|5b094?5|58k36:84=4`:>0e534?i87??e:p1f5=838p17}:9hk1;;525bd955b52z?2eg<0>27>h<4>0c9~w6g52908w0=71;;6?85?:33>70=n3;3a`>{t=j<1<720<5k;|q6g2<72;q6=lj5779>1g2=98;0q~;mb;297~;6i80<863:b886fg=:=k>1==l4}r7ag?6=;r7:m?484:?6f<<2jj169o:511f8yv3el3:1>v3>a2840>;2ko0:5<5s4;j879;;<7`b?77m2wx9oh50;0x94g22>>018j>:02`?xu2k90;6?u21`4931=:=m;1==k4}r15b?6=:>q6><:5e49>7=7=1816?5<5909>6=c=1816>5h5909>6<6=1816>4?5909>6<4=1816>4=5909>1g4=18168:h5909>0=6=181685l5909>0<7=181684<5909>0<5=181684:5909>0<3=18168485909>0<1=181685?5909>0=4=181685=5909>0=2=181685;5909>0=0=18168595909>0=>=18168575909>74`=1816??>5909>777=1816??<5909>775=1816??:5909>702=1016?;?5989>07c=101695o5989~w0d42909w0;m4;3a`>;2l:0:5<5s4?i57;m5:?6`6<68j1v87m:18187>m3=<70;68;33g>{t<=:1<721<5=9h6<>l;|q6e4<72;q69l<51cf890?b28:j7p}:f983>7}::8>1>`g52z?2=f<0=27>554>0c9~w15c2909w0?7c;56?824k3;;n6s|42g94?4|582o6:;4=565>46d3ty>954?:0ax97da2;5j;0>95522`a910><5;k=68;7;<0b4?32027>0d9>15e=99o018>n:02f?83703;;i63:07824`=:=9>1==k4=421>46b34?;<7??e:?7b`<68l169?k511g8904d28:n70;=a;33a>;2:10:j;<716?77m27>>=4>0d9>145=99o019hl:02f?83213;ih63<9d824g=:4l46a:?6=`<6jm1v87i:18183?i38:>63:a182fa=z{=>:6=4={<61a??f34>?>7?md:p015=838p19052=<;3019>k:50:?826>3>9563;1g876<=:<;218?74=50b>4dc3ty?884?:3y>010=9kn019:=:02b?xu2jh0;6<;t=0c3>23<5884>0c9>111=99h018:6:02a?833j3;;n63:4e824g=:==l1==l4=472>46e34?>?7??b:?610<68k169>=511`8905228:i70;<7;33f>;2;00:m;<70b?77j27>8<4>0c9>115=99h0q~:jb;295a}::l>18hl4=3fg>1ce348o;7:jb:?1`4<3mk16>nl54d`897e22=oi70;?f;33g>;28j0:l;<732?77k27><94>0b9>154=99i018>?:02`?82am3;;o63:2d824f=:=;i1==m4=40b>46d34?947??c:?663<68j169?:511a8904528:h70;=0;33g>;29:0:n;<6fg?7el2785h4>0e9>0`c=99n0q~:jd;296~;3ml0:ni52545955gm97>52z?2=3<0?2785n4>0b9~w1`12909w0?67;54?85>k3;;i6s|4g594?4|58336:94=5g`>46d3ty?j54?:3y>5168hm511g8yv5>j3:1=iu239395ag<5:296j2786ce=;0h01?h9:2;a?84a8392n63=e`80=g=:=9l1==l4=42`>46e34?;m7??b:?64=<68k169=8511`8906328:i70;?2;33f>;2890:m;<71g?77j27>>l4>0c9>17>=99h018<9:02a?835<3;;n63:23824g=:=;:1==l4=430>46e34>mo7??b:?0=f<6jm168hk511g8yv2a13:1>v3>9`843>;45<5s4;2n798;<76=?77j2wx?4j50;0x96?b28ho70=n3;33e>{t23<5:3h6<>m;|q7b5<72;q6=4?5749>7no7??d:p0c5=838p1<7;:678962e28:h7p};f583>7}:90?1;85254;955e52z?6f7<>=27>oi4>be9~w6b?2909w0?74;54?85d?3;;o6s|3e;94?4|582>6:94=2a:>46e3ty8hl4?:3y>5=0=?>16?nl511a8yv5cj3:1>v3>86843>;4kk0:5<5s4;34798;<1``?77k2wx?ij50;0x94>>2>=01>mk:02f?xu2kl0;6>u25c;91fc<5f2>=01>mi:02`?xu2l90;6>u25c;91a6<5e2>=01>mi:02f?xu2l;0;6?u25e195gb<5n;|q0`5<72;q6=:m5749>7f1=99h0q~=k1;296~;6?m0<963f;;6?855833>70==1;;6?855:33>70==3;;6?855<33>70=;9;17e>;4<;088l5232a971g<5:9=6>:n;<104?53i278>l4<4`9>15`=99n018>l:02g?837i3;;h63:09824a=:=9<1==j4=427>46c34?;>7??d:?645<68m168kk511f8904b28:o70;=c;33`>;2:h0:k;<710?77l27>>?4>0e9>176=99n018?<:02g?82ak3;;h63<4c82fa=:;0o1==m4=5gf>46d3ty8h>4?:3y>52`=?<16?nl511f8yv5c<3:1>v3>81841>;4km0:5<5s4;3=79:;<1``?77l2wx?i850;0x94>52>?01>mi:02a?xu4l>0;6?u2191930=:;jl1==j4}r1`2?6=:r78o:4>be9>07g=99h0q~=l8;296~;4k00:ni5243c955e59z?0a<<4kh16?k<53bc896`e2:ij70:?4;1`e>;38m08ol5240497fg<5=;m6>mn;<61be9~w6ed2902w0=j9;1`g>;4n;08on523g`97fe<5=:?6>ml;<63`?5dk27?=;404`=;ji019<7:2a`?85dl3;ih6s|58a94?4|583m6:84=4c1>46e3ty8oh4?:8y>7`?=;jo01>h=:2af?85aj39hi63;0580g`=:<9n1?nk4=535>6eb34>:j7=le:?76=<4kl16?nh51cf8yv7ei3:1;v3=3382g4=:<;o1455259c9<==::8:1j;522029bc=::8:1ik522029b5=z{8o86=4={_3f7>;59=0:i>5+21g95`45<5sW;n=63=1582a4=#:9o1=h<4n32g>4=z{8o;6=4={_3f4>;59=0:i=5+21g95`45<5sW;oj63=1582`c=#:9o1=h<4n32g>6=z{8nn6=4={_3ga>;59=0:hh5+21g95`45<5sW;oh63=1582`a=#:9o1=h<4n32g>0=z{8nh6=4={_3gg>;59=0:hn5+21g95`45<5sW;on63=1582`g=#:9o1=h<4n32g>2=z{88;6=4;2z\265=Y9;;0R<<=;_317>X6:=1U=?;4^005?[750279>k4j8:?76`27?>h47f:?76`h47d:?76`h47b:?76`h479:?76`h474:?627>4l47f:?64l47d:?64l47b:?64l479:?64l474:?23f019>646=99;01???:021?84683;;?63=118241=::8:1in522029b4=::8:1j?522029b6=::8:1j9522029b0=::8:1j:522029b==::8:1j4522029bd=::8:1jn5+21g95715<5s4>357?lb:?64c<68o1/>=k547f8j76c291v98l:18182?03;hn63:0b824c=#:9o18;j4n32g>4=z{=0g9'65c=k:39~w10f2909w0:76;3`f>;2810:5rs54:>5<5s4>397?lb:?643<68o1/>=k547f8j76c2=1v987:18182?<3;hn63:05824c=#:9o18;j4n32g>0=z{=<<6=4={<6;7?7dj27>0g9'65c=k:79~w1012909w0:72;3`f>;2890:5<5s4>3=7?lb:?7b`<68o1/>=k547f8j76c211v99j:18182>?3;hn63:2d824c=#:9o18;j4n32g><=z{==o6=4={<6:2?7dj27>>n4>0g9'65c=k:`9~w11d2909w0:65;3`f>;2:h0:5<5s4>287?lb:?66=<68o1/>=k547f8j76c2j1v99n:18182>;3;hn63:27824c=#:9o18;j4n32g>a=z{==26=4={<6:6?7dj27>>94>0g9'65c=k:d9~w11?2909w0:61;3`f>;2:;0:5<5s4>3n7?lb:?665<68o1/>=k547f8j76c28:0q~:80;296~;3090:oo52501955`<,;:n698k;o03`?763ty?:94?:3y>02`=9jh019hl:02e?!47m3>=h6`=0e826>{t=9o1<7:t=050>g7<58ko6o?4=0c3>g7<5<:m65db=io16=l>5ag9>15e=9kn0(?>j:42g?k47l3;0q~;?9;290~;6?:0ji63>ae8ba>;6i90ji63:0`82fa=#:9o19=j4n32g>7=z{<:<6=4;{<347?gc34;jh7ok;<3b4?gc34?;47?md:&14`<28m1e>=j53:p153=83>p1<9<:`a894gc2hi01h58m0?7p}:0283>1}:9>91mo521`f9eg=:9h:1mo5251695gb<,;:n68>k;o03`?354z?236be9'65c==9n0b?>k:79~w1`a290?w0?83;c:?87fl3k270?n0;c:?83783;ih6*=0d864a=i:9n1;6s|4gf94?2|58=86l64=0cg>d><58k;6l64=5df>4dc3-8;i7;?d:l14a>i4?:5y>525=k=16=lj5c59>5d6=k=169?k51cf8 76b2<:o7c728`6>;6im0h>63>a18`6>;2:j0:ni5+21g915b5<3s4;;<3b`?e634;j<7m>;<71e?7el2.906c3g8;h7j4}r717?6=4md:?2ea=k551f8j76c2l1v8<>:187870;3hh70?nd;``?87f83hh70;=2;3a`>"58l0>{t=8l1<7:t=050>gd<58ko6ol4=0c3>gd<5<8;60:p144=83>p1<9<:c6894gc2k>01h58m0:=6s|4g`94?2|58=86l84=0cg>d0<58k;6l84=5d`>4dc3-8;i7;?d:l14a<6:2wx?8<50;1x964320h01<8l:325?853139>>6*=0d8014=i:9n1<6s|34294?5|5:8864l4=04`>763349?>7=:0:&14`<4=81e>=j51:p71`=839p1><=:8`8940d2;:870="58l089<5a21f96>{t;=o1<7=t=202>=;<102?53m2.94cb3499m7=;c:&14`<4=81e>=j55:p74c=839p1><;:0aa?853139:i63>6c824d=#:9o1?5=z{:;h6=4<{<117?7dj2788?4<1b9>53>=99k0(?>j:23g?k47l3;0q~=>b;297~;4:;0:oo5232a974d<58<=6<>n;%03a?56l2d9"58l08=i5a21f97>{t;831<7=t=203>4ee3498<7=>9:?227<68h1/>=k530f8j76c2=1v>?7:180856n3;hn63<2`805==:9?:1==o4$32f>67c3g8;h7;4}r170?6=?r78:<476:?024k:19~w65c2909w0=91;:b?853:398h6*=0d8006=i:9n1=6s|32594?4|5:<:6574=21`>6503-8;i7=;3:l14a<53ty8?<4?:3y>737=0116?>853238 76b2:>87cv3<608;3>;4;908>o5+21g97155<5s49==76;;<11e?55=2.950;0x943c2;:=70"58l08{t;9o1<7763348i47=?e:&14`<48o1e>=j51:p75b=838p1<;k:320?84e:39;h6*=0d804c=i:9n1>6s|31a94?4|58?o6?>=;<0bg?57k2.9"58l08{t;9k1<74cb348j<7=?a:&14`<48o1e>=j55:p6=b=839p1?7<:0aa?84en383h63>43824d=#:9o1>5m4n32g>5=z{;2i6=4<{<0:6?7dj279n54=8c9>56`=99k0(?>j:3:`?k47l3;0q~<7a;297~;5180:oo522c096=g<589o6<>n;%03a?4?k2d94>51b`897gd2;2270?"58l094n5a21f97>{t:121<7=t=3:e>4ee348j:7<78:?27<<68h1/>=k529a8j76c2=1v?68:18084?m3;hn63=a181<2=:9:=1==o4$32f>7>d3g8;h7;4}r0ae?6=;r79nk4=b`9>6`2=:kk01<:i:02b?!47m38i56`=0e83?xu5j:0;6>u22c:96g5<5;no6?l<;<37g?77i2.9lj50;1x97d52;ko70;65<4s48jo716=96511c8 76b2;h27cl?4=065>46f3-8;i76d6=:0h01?m::3;a?873<3;;m6*=0d81f<=i:9n196s|30594?4|58?n6?>9;<0f0?56?2.9"58l08=;5a21f95>{t;8>1<7764348o;7=>4:&14`<49?1e>=j52:p745=838p1<;j:321?84c939:?6*=0d8053=i:9n1?6s|30094?4|58?n6"58l08=;5a21f91>{t:ml1<7=t=3g7>7ba349;57=k52eg8j76c291v?j7:18084cl38o463<0381`==:9<31==o4$32f>7bb3g8;h7?4}r0g6?6=;r79h:4=d39>6ce=:m801<;8:02b?!47m38oi6`=0e81?xu5kj0;6>u22e396fe<5;l=6?ml;<361?77i2.9n850;1x97ee2;i=70;6=:0:5<4s48h97i3:1>v3>348143=:;931>4o4$32f>7?>3g8;h7>4}r0:754=:020(?>j:3;:?k47l3;0q~<67;296~;6;<09<>522ga96<1<,;:n6?76;o03`?452z?270<58;16>k852848 76b2;327c=3:1>v3>3482bg=::o:1>4;4$32f>7?>3g8;h7:4}r0:0?6=:r7:?84>ed9>6`g=:0>0(?>j:3;:?k47l3?0q~=?4;29e~;51:02n63<558;2>;4==03j63<558;a>;4==03h63<558;g>;4==03n63>8e8ab>;61o0ij63<088041=#:9o1?==4n32g>5=z{;lo6=4:{<0:6??e349>876n;<3;`?dc34;2j7lk;<136?4al2.9k950;7x97?620h01>;;:9;894>c2ki01<7i:ca897`d2;l<7)h58m097p}=f083>0}::0:15o523469<==:91n1no5218d9fg=::o<1>k?4$32f>6643g8;h7=4}r0ff?6==r794k46b:?011k:59~w7c2290>w0<7e;;a?852<32?70?7d;c5?87>n3k=70"58l08<>5a21f91>{t;h:1<7=t=2:1>4dc3-8;i7=6f:l14a7ge=i=16?h753d38 76b2:o;7cv3;4n;08il5+21g97`65<5s49io7o>;<1ef?5a;2.9;:2d`?!47m39n<6`=0e80?xu38<0;6?u23ca9=c=:<9n18=;4$32f>6c73g8;h7:4}r63a?6=:r78nn46e:?753<38l1/>=k53d28j76c2<1v9?8:18185ek33o70:>f;623>"58l08i=5a21f92>{t<;:1<7h58m0;7p};dg83>7}:9>91=k94=466>46d3-8;i7:j0:l14a<63ty?hh4?:3y>525=9o<018:8:02`?!47m3>n<6`=0e81?xu3lm0;6?u216195c3<5<>26<>l;%03a?2b82d9h58m0?7p};dc83>7}:9>91=k=4=46g>46d3-8;i7:j0:l14a<23ty?hl4?:3y>525=9o8018:i:02`?!47m3>n<6`=0e85?xu3l00;6?u216195c7<5l;%03a?2b82d9h58m037p};e`83>7}:9>91>=l4=476>46d3-8;i7:j0:l14a<>3ty?i44?:3y>525=:93018=<:02`?!47m3>n<6`=0e8b?xu3m10;6?u2161965><5<9>6<>l;%03a?2b82d9h58m0h7p};e783>7}:9>91>=84=41:>46d3-8;i7:j0:l14a525=:9>018=m:02`?!47m3>n<6`=0e8f?xu3m=0;6?u21619655<5<9o6<>l;%03a?2b82d9h58m0:<6s|4d094?4|58=864n32g>4452z?7<<<>j27>?<4>be9'65c==;l0b?>k:19~w0232909w0:78;;a?833=3;ih6*=0d866c=i:9n1=6s|55494?4|5=2<64l4=464>4dc3-8;i7;=f:l14a<53ty>854?:3y>0=0=1k1699751cf8 76b2<8m7cv3;848:f>;25<5s4>3877m;<77`?7el2.9420h018:i:0`g?!47m3?9j6`=0e85?xu2=90;6?u24909=g=:=<;1=oj4$32f>04a3g8;h794}r766?6=:r7?4<46b:?616<6jm1/>=k553d8j76c211v8;;:18182>?33i70;:5;3a`>"58l0>>k5a21f9=>{t=:81<7163=9kn0(?>j:40e?k47l3h0q~;<6;296~;31=02n63:3682fa=#:9o19?h4n32g>f=z{<936=4={<6:7??e34?857?md:&14`<2:o1e>=j5d:p16g=838p197=:8`8905e28ho7)h58m0n7p}:3b83>7}:<0;15o5252f95gb<,;:n6852z?7j27>?k4>be9'65c==;l0b?>k:028yv3383:1>v3;818:f>;2<80:ni5+21g917`=k553d8j76c2880q~?9a;296~;6>j0ij63>6c82fa=#:9o1=;74n32g>5=z{8<<6=4={<35g?dc34;=47?md:&14`<6>01e>=j51:p533=838p1<8l:ca8940128ho7)h58m097p}>6283>7}:9?i1no5217695gb<,;:n6<86;o03`?552z?22fbe9'65c=9?30b?>k:59~w43a2909w0?9c;c5?87183;ih6*=0d822<=i:9n196s|15394?4|58?o6oh4=061>4dc3-8;i7?;0:l14a<73ty:?h4?:3y>50b=jm16=>h51cf8 76b28>;7cv3>5e8ag>;6;m0:ni5+21g95165<5s4;>h7lm;<30f?7el2.9

419m65b=;2wx=>650;0x943c2k>01<=6:0`g?!47m3;?<6`=0e87?xu6;?0;6?u214f9e3=:9:=1=oj4$32f>4273g8;h7;4}r37a?6=:r7:9h4mf:?20c<6jm1/>=k515f8j76c291v<:m:181872m3ho70?;c;3a`>"58l0:8i5a21f95>{t9=31<7ge<58>j651>=9kn0(?>j:06g?k47l390q~?;5;296~;6=l0i863>4782fa=#:9o1=9j4n32g>1=z{8>86=4={<36a?g134;?87?md:&14`<6=j55:p50d=838p1<=::cd8943d28ho7)h58m0;7p}>5983>7}:9:?1ni5214;95gb<,;:n6<;n;o03`?7:7>52z?270be9'65c=9k:39~w4332909w0?<5;`a?872=3;ih6*=0d821d=i:9n1?6s|14094?4|589>6o:4=070>4dc3-8;i7?:a:l14a<33ty:9=4?:3y>563=i?16=8?51cf8 76b28?j7ci1o9521969g1=:91?1o95216f9g1=:9>l1o9521959g1=:91;1o95219;9g1=:9191o95219`9g1=#:9o1:=k4n32g>5=z{?:o6=4m{<020?41:27:;n4l2:?2<14l2:?2h58m097p}90c83>g}::8>1>;>4=05`>f6<582?6n>4=0:6>f6<58=o6n>4=05e>f6<582<6n>4=0:2>f6<58226n>4=0:0>f6<582i6n>4$32f>36b3g8;h7=4}r43e?6=jr79=94=5g9>52e=jo16=5:5bg9>5=3=jo16=:j5bg9>52`=jo16=595bg9>5=7=jo16=575bg9>5=5=jo16=5l5bg9'65c=>9o0b?>k:59~w36>290iw0<>4;06a>;6?j0ih63>858a`>;60<0ih63>7e8a`>;6?o0ih63>868a`>;6080ih63>888a`>;60:0ih63>8c8a`>"58l0={t>921<7lt=337>73c34;642=:32kh01<6::c`8941c2kh01<9i:c`894>02kh01<6>:c`894>>2kh01<6<:c`894>e2kh0(?>j:72f?k47l3=0q~8?6;29f~;59=099o5216a9f1=:91>1n9521979f1=:9>n1n95216d9f1=:91=1n9521939f1=:9131n9521919f1=:91h1n95+21g925c527:494n6:?2<027:;i4n6:?23c27:4:4n6:?2<427:444n6:?2<627:4o4n6:&14`<18l1e>=j59:p255=83=p1??;:30`?870m3i?70?76;a7?87?83i?70?78;a7?87?:3i?70?7a;a7?!47m3<;>6`=0e83?xu1880;6:u2206967g<58=n6n<4=0:5>f4<582;6n<4=0:;>f4<58296n<4=0:b>f4<,;:n6;>=;o03`?757z?151<5:016=:k5c09>5=0=k816=5>5c09>5=>=k816=5<5c09>5=g=k81/>=k56108j76c2;1v8hi:184846<389463>7d8`4>;60?0h<63>818`4>;6010h<63>838`4>;60h0h<6*=0d8547=i:9n1?6s|5gg94?1|5;;?6?<8;<34a?da34;3:7li;<3;4?da34;347li;<3;6?da34;3m7li;%03a?07:2d9q6><:52348941b2kn01<69:cf894>72kn01<67:cf894>52kn01<6n:cf8 76b2?:97co1nn521949ff=:91:1nn5219:9ff=:9181nn5219c9ff=#:9o1:=<4n32g>3=z{3h?70?70;`7?87?03h?70?72;`7?87?i3h?7)h58m037p}:f883>2}::8>1>?<4=05f>d0<582=6l84=0:3>d0<58236l84=0:1>d0<582j6l84$32f>3653g8;h774}r14b?6=:r79==4je:?0<7<6kk1/>=k53928j76c2j1v>9j:18184683oo70=71;3`f>"58l084=5a21f9`>{t;j?1<747c349io7?k6:&14`<4k=1e>=j50:p7f5=838p1??;:03a?85ek3;o86*=0d80g1=i:9n1=6s|3b094?4|5;;?6"58l08o95a21f97>{t;j:1<747?349io7?k1:&14`<4k=1e>=j54:p7g`=838p1??;:034?85ek3;o<6*=0d80g1=i:9n196s|3cg94?4|5;;?62wx?oj50;0x977328;>70=mc;3`g>"58l08o95a21f93>{t;<=1<7=t=277>4eb34;<<7o7;<3a1?g?3-8;i7=:6:l14a<73ty8:=4?:2y>702=9m301<9?:b6894d22j>0(?>j:275?k47l3;0q~=:f;297~;4==0:h:521629g7=:9k?1o?5+21g97005<4s49>87?k6:?235=j53:p70b=839p1>;;:0f7?87083i;70?m5;a3?!47m39>:6`=0e87?xu4=j0;6>u234695a5<58=;6oh4=0`6>g`<,;:n6>;9;o03`?3n7>53z?011<6l;16=:>5be9>5g3=jm1/>=k53448j76c2?1v>;n:180852<3;o=63>718ag>;6j<0io6*=0d8013=i:9n1;6s|34;94?5|5:??62d901:1m;521c79e3=#:9o1?884n32g>d=z{:4l8:?2f=h58m0:7p}<6b83>0}:;?;1=i94=0`7>f4<58a`<58h36ih4$32f>6043g8;h7<4}r15f?6==r78:<4>d79>5g2=k816=;h5c09>5g5=ll16=o65dd9'65c=;?90b?>k:29~w60f290>w0=91;3g0>;6j=0h<63>6g8`4>;6j:0oh63>b98g`>"58l08:>5a21f90>{t;?31<7;t=242>4b434;i87li;<35b?da34;i?7jl;<3a737=9m801j:240?k47l3<0q~=97;291~;4>80:h<521c69ff=:9?l1nn521c19`d=:9k21hl5+21g97355<2s49==7?k0:?2f1:1e>=j58:p733=83?p1>8>:0ae?87e<3h?70?9f;`7?87e;3n970?m8;f1?!47m39=?6`=0e8:?xu4>;0;68u237395fe<58h?6l84=04e>d0<58h86n84=0`;>f0<,;:n6>8<;o03`?g8=7>54z?76`<6kl16=o<5a99>53c=i116=o=5a99'65c=<::0b?>k:19~w15f290?w0:=e;3g=>;6j;0h863>6d8`0>;6j:0h86*=0d8775=i:9n1=6s|42;94?2|5=8n607c=9m<015<3s4>9i7?k3:?2f7;50;6x914b28n970?m2;`g?871m3ho70?m3;`g?!47m3>8<6`=0e85?xu3;=0;69u243g95a7<58h96om4=04f>ge<58h86om4$32f>1573g8;h794}r607?6=h4>d19>5g4=jk16=;k5bc9>5g5=jk1/>=k54228j76c211v9==:187825m3;hj63>b38a0>;6>l0i863>b28a0>"58l0??=5a21f9=>{t<;l1<7:t=50f>4ed34;i>7o9;<35a?g134;i?7o9;%03a?2482d9j:4:`?k47l3:0q~;66;290~;20h0:h4521c39g1=:9?n1o9521c:9g1=#:9o195m4n32g>4=z{<3>6=4;{<7;e?7c?27:n<4l2:?22a=j52:p1<2=83>p186n:0f5?87e93i:70?9d;a2?87e03i:7)h58m087p}:9283>1}:=1k1=i:4=0`2>f6<584=0`;>f6<,;:n686l;o03`?27>54z?653b=jo16=o65bg9'65c==1i0b?>k:49~w0?6290?w0;7a;3g6>;6j80ih63>6e8a`>;6j10ih6*=0d86;<3a5?dd34;=h7ll;<3a4k4?:5y>1=g=9m:01:c`8940c2kh015<3s4?3m7?lc:?2f427::i4n6:?2f=2.9;3k370?6a;c;?87?;3i370?n2;c;?87fi3k370?nc;c;?!47m3?>n6`=0e83?xu2><0;65u21c6965d<583:6n:4=0;0>f2<583j6n:4=0:0>`6<58k96n:4=0cb>f2<58kh6n:4$32f>03e3g8;h7?4}r750?6=0r7:n94=089>5<7=k;16=4=5c39>55d4=k;16=lo5c39>5de=k;1/>=k554`8j76c2;1v88<:18;87e<38;463>908`5>;61:0h=63>9`8`5>;60:0oi63>a38`5>;6ih0h=63>ab8`5>"58l0>9o5a21f97>{t=?81<76t=0`7>76034;2=7m?;<3:7?e734;2m7m?;<3;7?bc34;j>7m?;<3be?e734;jo7m?;%03a?32j2d9j:47a?k47l3?0q~;90;29<~;6j=09<9521839fa=:9091ni5218c9fa=:9191ho521`09fa=:9hk1ni521`a9fa=#:9o198l4n32g>3=z{=j57:p10c=832p193hi70?63;`a?87>i3hi70?73;f:?87f:3hi70?na;`a?87fk3hi7)h58m037p}:5e83>=}:9k>1=kl4=0;2>g2<58386o:4=0;b>g2<58286i<4=0c1>g2<58kj6o:4=0c`>g2<,;:n68;m;o03`??m7>58z?2f1<6ml16=4?5a79>5<5=i?16=4o5a79>5=5=k?16=l<5a79>5dg=i?16=lm5a79'65c==k:`9~w1?f2903w0?9f;3e4>;61>0j463>988b<>;61=0j463>8c8`<>;6i10j463>a58b<>;6i?0j46*=0d87=<=i:9n1<6s|4c094?>|58m;<3:3?e334;257m;;<3:0?e334;3n7k?;<3b53`=:9301<78:b0894?>2j801<7;:b0894>e2ml016g814==:90=1o<5218;9g4=:90>1o<5219`9``=:9h21o<521`69g4=:9h<1o<5+21g905rs5ce>513hm70?64;`e?87?j3nh70?n8;`e?87f<3hm70?n6;`e?!47m3>256`=0e86?xu3im0;65u217d9652<583<6oj4=0;:>gb<583?6oj4=0:a>ad<58k36oj4=0c7>gb<58k=6oj4$32f>1?>3g8;h784}r6bg?6=0r7::k4=029>5<1=jj16=475bb9>5<2=jj16=5l5d`9>5d>=jj16=l:5bb9>5d0=jj1/>=k548;8j76c2>1v9om:18;871n38;>63>968af>;6100in63>958af>;60k0o563>a98af>;6i=0in63>a78af>"58l0?545a21f9<>{t1<76t=04e>4`e34;2;7l;;<3:=?d334;287l;;<3;f?b534;j47l;;<3b0?d334;j:7l;;%03a?2>12d9j:5;:?k47l3k0q~:m5;29<~;6?90:j=521829e==:90<1m5521879e==:91k1o5521`59e==:9hh1m5521`79e==#:9o18o:4n32g>5=z{=hn6=47{<344?47j27:5=4l4:?2=3=j51:p0gb=832p1<9?:32:?87>83i970?66;a1?87>=3i970?7a;fe?87f?3i970?nb;a1?87f=3i97)h58m097p};bb83>=}:9>:1>=64=0;3>f7<583=6n?4=0;6>f7<582j6ik4=0c4>f7<58ki6n?4=0c6>f7<,;:n69l;;o03`?5in7>58z?235<58>16=4>5c19>5<0=k916=4;5c19>5=g=lm16=l95c19>5dd=k916=l;5c19'65c=0b?>k:59~w1df2903w0?80;032>;6190ij63>978ab>;61<0ij63>8`8gg>;6i>0ij63>ac8ab>;6i<0ij6*=0d87f1=i:9n196s|4c;94?>|58=;6?>;;<3:4?dc34;2:7lk;<3:1?dc34;3m7jm;<3b3?dc34;jn7lk;<3b1?dc3-8;i7:m4:l14a<13ty?n54?:9y>526=:9901<7?:ca894?12ki01<7::ca894>f2mk01718147=:90:1no521849fg=:90?1no5219c9`<=:9h=1no521``9fg=:9h?1no5+21g90g25>3k=70?65;c5?87?i3i=70?n7;c5?87fj3k=70?n5;c5?!47m3>i86`=0e8b?xu2>10;65u21c795c6<58396l64=0;;>d><583i6l64=0:1>f><58k:6l64=0c:>d><58k86l64$32f>0003g8;h7>4}r745?6=0r7:n84=0c9>5<4=k=16=465c59>55d7=k=16=l75c59>5d5=k=1/>=k55758j76c281v89?:18;87e=38;563>938`6>;6110h>63>9c8`6>;60;0oj63>a08`6>;6i00h>63>a28`6>"58l0>::5a21f96>{t=?l1<76t=0`6>76?34;2>7m>;<3:;<3;6?bb34;j=7m>;<3b=?e634;j?7m>;%03a?31?2d9j:444?k47l3>0q~;9d;29<~;6j<09<;521809fc=:9021nk5218`9fc=:9181hn521`39fc=:9h31nk521`19fc=#:9o19;94n32g>0=z{<4md:&14`<2>>1e>=j56:p13d=832p1:3hh70?68;``?87>j3hh70?72;fb?87f93hh70?n9;``?87f;3hh7)h58m0<7p}:6`83>=}:9k?1>=<4=0;1>gd<58336ol4=0;a>gd<58296i74=0c2>gd<58k26ol4=0c0>gd<,;:n6888;o03`?>58z?2f0<6nk16=4<5b59>5<>=j=16=4l5b59>5=4=l;16=l?5b59>5d?=j=16=l=5b59'65c==?=0b?>k:89~w0012903w0?m5;3fa>;61;0j:63>998b2>;61k0j:63>838`2>;6i80j:63>a88b2>;6i:0j:6*=0d8622=i:9n1m6s|3c094?5|58h=6l64=0`1>4`734;5g4=:9h01<9i:d28 76b2:h:7cb78`6>;6j;09<45216d9`c=#:9o1?o?4n32g>7=z{:h26=4<{<3a2?e634;i>7h58m0?7p}6}:9k<1nk521c09650<58=m6im4$32f>6d63g8;h7;4}r1a2?6=;r7:n;4md:?2f7<58=16=:h5dc9'65c=;k;0b?>k:79~w6d22908w0?m6;``?87e:38;?63>7g8ge>"58l08n<5a21f93>{t;k>1<7=t=0`5>gd<58h96?>=;<34b?b>3-8;i7=m1:l14a4?:2y>5g0=j=16=o<51g`8941a2m80(?>j:2`2?k47l330q~=m0;297~;6j?0j:63>b382a`=:9>l1o;5+21g97g75<4s4;i:7?i0:?2=6=j50:p12b=839p1;3o;70?na;g3?!47m3?u21c4965?<58386ih4=0cb>a`<,;:n689<;o03`?453z?2f3<58116=4=5dd9>5dg=ll1/>=k55618j76c2:1v89n:18087e>38;;63>928g`>;6ih0oh6*=0d8636=i:9n186s|56;94?5|58h=6?>9;<3:7?bd34;jm7jl;%03a?30;2d9b78146=:9091hl521`c9`d=#:9o19:=4n32g>2=z{<==6=4<{<3a2?47:27:5>4k9:?2edh58m027p}:7383>6}:9k<1=hk4=0;0>f0<58kj6n84$32f>0143g8;h7o4}r1:5?6=;r7:;<4n8:?22`<6n916=595c99'65c=;0:0b?>k:19~w6?f2908w0?81;a7?871m38;n63>868f4>"58l085=5a21f95>{t;031<7=t=052>f4<586;<3;3?ba3-8;i7=60:l14a<53ty8554?:2y>527=k816=;k521:894>02mo0(?>j:2;3?k47l390q~=67;297~;6?80h<63>6d8142=:91=1hi5+21g97<65<4s4;<=7li;<35a?47>27:4:4kc:&14`<4191e>=j55:p7<3=839p1<9>:cf8940b2;:?70?77;fa?!47m392<6`=0e85?xu41=0;6>u21639ff=:9?o1>==4=0:4>ag<,;:n6>7?;o03`?153z?2345=1=l01/>=k53828j76c211v>7=:18087093h?70?9e;3ef>;60>0o>6*=0d80=5=i:9n156s|39d94?5|58=:6l84=04f>4cb34;3;7m9;%03a?5>82d92j20170814g=:9031i=521`69a5=#:9o18n>4n32g>4=z{=i26=4<{<345?47127:544kf:?2e1h58m087p};c683>6}:9>;1>=94=0;:>ab<58k?6ij4$32f>1e73g8;h7:4}r6`2?6=;r7:;<4=079>5k:49~w1e22908w0?81;030>;6100on63>a58gf>"58l0?o=5a21f92>{t1<7=t=052>76434;257jn;<3b0?bf3-8;i7:l0:l14a<03ty?o>4?:2y>527=:9801<76:e;894g32m30(?>j:5a3?k47l320q~:l2;297~;6?80:jo5218;9`7=:9h>1h?5+21g90f65<4s4;<=7?je:?2=<27:m94l6:&14`<3k91e>=j5a:p7d0=839p1u21c59g1=:9k;1>=l4=0:2>`6<,;:n6>o:;o03`?753z?2f25=7=lo1/>=k53`78j76c2;1v>ok:18087e?3i:70?m1;03<>;6080oi6*=0d80e0=i:9n1?6s|3`a94?5|58h<6n>4=0`2>76034;3=7jk;%03a?5f=2d95g7=:9<01<6>:ea8 76b2:k>7cb68a`>;6j809<9521939`g=#:9o1?l;4n32g>3=z{:k26=4<{<3a3?dd34;i=7:321?87?93n27)h58m037p}6}:9k=1n9521c395cd<582:6i<4$32f>6g23g8;h774}r1b0?6=;r7:n:4n6:?2f4<6ml16=5?5c79'65c=;h?0b?>k:`9~w0>72908w0?m7;3e4>;6180h463>ab8`<>"58l0>;k5a21f94>{t=131<7=t=0`4>76e34;2=7k?;<3bg?c73-8;i7;8f:l14a<63ty>454?:2y>5g1=:9301<7>:ed894gd2ml0(?>j:45e?k47l380q~;77;297~;6j>09<5521839``=:9hi1hh5+21g912`5rs4:5>5<4s4;i;7=j54:p1=3=839p193nh70?nc;f`?!47m3?u21c59652<583:6il4=0c`>ad<,;:n689i;o03`?053z?2f2<58:16=4?5d`9>5de=lh1/>=k556d8j76c2>1v86=:18087e?38;>63>908g=>;6ij0o56*=0d863c=i:9n146s|59394?5|58h<6738b<>;6>m0:j=5219;9g==#:9o1?5:4n32g>5=z{:2n6=4<{<346?e334;=h7h58m097p}<8b83>6}:9>81o<5217f965><58226ik4$32f>6>33g8;h7=4}r1;f?6=;r7:;?4l0:?22a<58>16=575de9'65c=;1>0b?>k:59~w6>f2908w0?82;`e?871l38;:63>888gg>"58l08495a21f91>{t;131<7=t=051>gb<58;;<3;=?be3-8;i7=74:l14a<13ty8454?:2y>524=jj16=;j5211894>>2mk0(?>j:2:7?k47l3=0q~=77;297~;6?;0in63>6e8147=:9131h45+21g97=25<4s4;<>7l;;<35`?7aj27:444k2:&14`<40=1e>=j59:p7=5=839p1<9=:`48940c28on70?79;a5?!47m39386`=0e8b?xu3km0;6>u216095c6<583<6n64=0c5>f><,;:n69ml;o03`?6o:7>53z?237<58k16=495e19>5d0=m91/>=k54ba8j76c281v9j::180870:38;563>968gb>;6i?0oj6*=0d87gf=i:9n1>6s|4e694?5|58=96?>7;<3:3?bb34;j:7jj;%03a?2dk2d9738143=:90=1hn521`49`f=#:9o18nm4n32g>0=z{=n:6=4<{<346?47<27:5:4kb:?2e32wx8i>50;1x94152;:870?67;fb?87f>3nj7)h58m0<7p};cg83>6}:9>81>=<4=0;4>a?<58k=6i74$32f>1ed3g8;h764}r6`a?6=;r7:;?4>fc9>5<1=l;16=l85d39'65c=k:89~w1ee2908w0?82;3fa>;61>0h:63>a78`2>"58l0?on5a21f9e>{t<=31<7:t=0`0>4`734;3o7o7;<3;b?g?34;3i7o7;%03a?2302d9d2j>01<6i:b6894>b2j>0(?>j:56;?k47l3;0q~::1;290~;6j:09<45219a9g7=:91l1o?5219g9g7=#:9o18964n32g>7=z{=?;6=4;{<3a7?47027:4n4l1:?2=j53:p01`=83>p1h58m0?7p};4d83>1}:9k91>=84=0:`>g`<582m6oh4=0:f>g`<,;:n69:7;o03`?3?h7>54z?2f6<58=16=5m5be9>5=`=jm16=5k5be9'65c=<=20b?>k:79~w12d290?w0?m3;037>;60j0io63>8g8ag>;60l0io6*=0d870==i:9n1;6s|45`94?2|58h86?>=;<3;g?de34;3j7lm;<3;a?de3-8;i7:;8:l14a5g5=9oh01<6l:c6894>a2k>01<6j:c68 76b2=>37cb282a`=:91i1m;5219d9e3=:91o1m;5+21g901>5<3s4;i47?i0:?2=fm3i?70?6d;a7?!47m3?j86`=0e82?xu2im0;69u21c:965?<583h6n<4=0;f>f4<583o6n<4$32f>0g33g8;h7<4}r7bg?6=55=k55`68j76c2:1v8om:18787e038;;63>9b8`4>;61l0h<63>9e8`4>"58l0>m95a21f90>{t=hk1<7:t=0`;>76134;2o7li;<3:a?da34;2h7li;%03a?3f<2d9j:4c7?k47l3<0q~;n8;290~;6j109<>5218a9ff=:90o1nn5218f9ff=#:9o19l:4n32g>2=z{=j58:p1d0=83>p1k3h?70?6e;`7?87>l3h?7)h58m027p}:a283>1}:9k21=hk4=0;`>d0<583n6l84=0;g>d0<,;:n68o;;o03`?g" LOCATE = SITE "M5" LEVEL 1; COMP "LED<1>" LOCATE = SITE "M11" LEVEL 1; COMP "LED<2>" LOCATE = SITE "P7" LEVEL 1; -COMP "RGB<0>" LOCATE = SITE "H13" LEVEL 1; COMP "LED<3>" LOCATE = SITE "P6" LEVEL 1; -COMP "RGB<1>" LOCATE = SITE "J13" LEVEL 1; COMP "LED<4>" LOCATE = SITE "N5" LEVEL 1; -COMP "RGB<2>" LOCATE = SITE "F14" LEVEL 1; COMP "LED<5>" LOCATE = SITE "N4" LEVEL 1; -COMP "RGB<3>" LOCATE = SITE "G13" LEVEL 1; COMP "LED<6>" LOCATE = SITE "P4" LEVEL 1; -COMP "RGB<4>" LOCATE = SITE "G14" LEVEL 1; COMP "LED<7>" LOCATE = SITE "G1" LEVEL 1; +COMP "RGB<0>" LOCATE = SITE "H13" LEVEL 1; +COMP "RGB<1>" LOCATE = SITE "J13" LEVEL 1; +COMP "RGB<2>" LOCATE = SITE "F14" LEVEL 1; +COMP "RGB<3>" LOCATE = SITE "G13" LEVEL 1; +COMP "RGB<4>" LOCATE = SITE "G14" LEVEL 1; COMP "RGB<5>" LOCATE = SITE "C14" LEVEL 1; COMP "RGB<6>" LOCATE = SITE "D13" LEVEL 1; -COMP "VS" LOCATE = SITE "K13" LEVEL 1; COMP "RGB<7>" LOCATE = SITE "F13" LEVEL 1; -COMP "CLK" LOCATE = SITE "M6" LEVEL 1; NET "CLK_BUFGP/IBUFG" BEL "CLK_BUFGP/BUFG.GCLKMUX" USELOCALCONNECT; SCHEMATIC END; diff --git a/main.prj b/main.prj index f697910..3f5d48b 100644 --- a/main.prj +++ b/main.prj @@ -1,2 +1,3 @@ vhdl work "vga.vhd" +vhdl work "speaker.vhd" vhdl work "main.vhd" diff --git a/main.ptwx b/main.ptwx index f84f420..169df01 100644 --- a/main.ptwx +++ b/main.ptwx @@ -329,4 +329,4 @@ ]> -Autotimespec constraint for clock net CLK_BUFGP0INFO:Timing:2761 - N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. +Autotimespec constraint for clock net VGADriver/FRAMEAutotimespec constraint for clock net CLK_BUFGP0INFO:Timing:2761 - N/A entries in the Constraints List may indicate that the constraint is not analyzed due to the following: No paths covered by this constraint; Other constraints intersect with this constraint; or This constraint was disabled by a Path Tracing Control. Please run the Timespec Interaction Report (TSI) via command line (trce tsi) or Timing Analyzer GUI. diff --git a/main.syr b/main.syr index 8a23c53..8edd893 100644 --- a/main.syr +++ b/main.syr @@ -4,13 +4,13 @@ Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. Total REAL time to Xst completion: 0.00 secs -Total CPU time to Xst completion: 0.11 secs +Total CPU time to Xst completion: 0.12 secs --> Parameter xsthdpdir set to xst Total REAL time to Xst completion: 0.00 secs -Total CPU time to Xst completion: 0.11 secs +Total CPU time to Xst completion: 0.12 secs --> Reading design: main.prj @@ -104,17 +104,21 @@ Slice Utilization Ratio Delta : 5 ========================================================================= * HDL Compilation * ========================================================================= +Compiling vhdl file "C:/fpga/pong/speaker.vhd" in Library work. +Architecture behavioral of Entity speaker is up to date. Compiling vhdl file "C:/fpga/pong/vga.vhd" in Library work. -Entity compiled. -Entity (Architecture ) compiled. +Architecture behavioral of Entity vga is up to date. Compiling vhdl file "C:/fpga/pong/main.vhd" in Library work. -Architecture behavioral of Entity main is up to date. +Entity
compiled. +Entity
(Architecture ) compiled. ========================================================================= * Design Hierarchy Analysis * ========================================================================= Analyzing hierarchy for entity
in library (architecture ). +Analyzing hierarchy for entity in library (architecture ). + Analyzing hierarchy for entity in library (architecture ). @@ -122,10 +126,13 @@ Analyzing hierarchy for entity in library (architecture in library (Architecture ). -WARNING:Xst:819 - "C:/fpga/pong/main.vhd" line 57: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are: - , , +WARNING:Xst:819 - "C:/fpga/pong/main.vhd" line 85: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are: + , , Entity
analyzed. Unit
generated. +Analyzing Entity in library (Architecture ). +Entity analyzed. Unit generated. + Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. @@ -136,32 +143,45 @@ Entity analyzed. Unit generated. Performing bidirectional port resolution... +Synthesizing Unit . + Related source file is "C:/fpga/pong/speaker.vhd". + Found 25-bit register for signal . + Found 25-bit adder for signal created at line 33. + Found 1-bit register for signal . + Found 25-bit comparator greatequal for signal created at line 29. + Summary: + inferred 26 D-type flip-flop(s). + inferred 1 Adder/Subtractor(s). + inferred 1 Comparator(s). +Unit synthesized. + + Synthesizing Unit . Related source file is "C:/fpga/pong/vga.vhd". -WARNING:Xst:1305 - Output is never assigned. Tied to value 00000000. Found 1-bit register for signal . Found 1-bit register for signal . Found 10-bit register for signal . Found 10-bit register for signal . + Found 1-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 10-bit up counter for signal . - Found 11-bit comparator greater for signal created at line 44. - Found 11-bit comparator less for signal created at line 44. + Found 11-bit comparator greater for signal created at line 43. + Found 11-bit comparator less for signal created at line 43. Found 10-bit up counter for signal . - Found 11-bit comparator greater for signal created at line 50. - Found 11-bit comparator less for signal created at line 50. + Found 11-bit comparator greater for signal created at line 49. + Found 11-bit comparator less for signal created at line 49. Found 11-bit comparator greatequal for signal created at line 32. Found 11-bit comparator greatequal for signal created at line 32. Found 11-bit comparator less for signal created at line 32. Found 11-bit comparator less for signal created at line 32. - Found 10-bit adder for signal created at line 37. - Found 10-bit subtractor for signal created at line 37. - Found 10-bit adder for signal created at line 38. - Found 10-bit subtractor for signal created at line 38. + Found 10-bit adder for signal created at line 36. + Found 10-bit subtractor for signal created at line 36. + Found 10-bit adder for signal created at line 37. + Found 10-bit subtractor for signal created at line 37. Summary: inferred 2 Counter(s). - inferred 31 D-type flip-flop(s). + inferred 32 D-type flip-flop(s). inferred 4 Adder/Subtractor(s). inferred 8 Comparator(s). Unit synthesized. @@ -169,13 +189,92 @@ Unit synthesized. Synthesizing Unit
. Related source file is "C:/fpga/pong/main.vhd". +WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value 00100000. +WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value 00001010. WARNING:Xst:737 - Found 8-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. - Found 11-bit comparator greatequal for signal created at line 59. - Found 11-bit comparator greatequal for signal created at line 59. - Found 11-bit comparator lessequal for signal created at line 59. - Found 11-bit comparator lessequal for signal created at line 59. + Found 6-bit register for signal . + Found 6-bit adder for signal created at line 129. + Found 6-bit adder for signal created at line 105. + Found 6-bit adder for signal created at line 121. + Found 6-bit register for signal . + Found 6-bit adder for signal created at line 113. + Found 11-bit up accumulator for signal . + Found 11-bit up accumulator for signal . + Found 25-bit register for signal . + Found 11-bit adder for signal created at line 124. + Found 11-bit adder for signal created at line 116. + Found 11-bit subtractor for signal created at line 124. + Found 11-bit subtractor for signal created at line 116. + Found 11-bit comparator greatequal for signal created at line 161. + Found 11-bit comparator greatequal for signal created at line 161. + Found 11-bit comparator greatequal for signal created at line 162. + Found 11-bit comparator greatequal for signal created at line 162. + Found 11-bit comparator greatequal for signal created at line 164. + Found 11-bit comparator greatequal for signal created at line 164. + Found 11-bit comparator greatequal for signal created at line 166. + Found 11-bit comparator greatequal for signal created at line 166. + Found 11-bit comparator lessequal for signal created at line 161. + Found 11-bit comparator lessequal for signal created at line 161. + Found 11-bit comparator lessequal for signal created at line 162. + Found 11-bit comparator lessequal for signal created at line 162. + Found 11-bit comparator lessequal for signal created at line 164. + Found 11-bit comparator lessequal for signal created at line 164. + Found 11-bit comparator lessequal for signal created at line 166. + Found 11-bit comparator lessequal for signal created at line 166. + Found 11-bit updown accumulator for signal . + Found 11-bit comparator greatequal for signal created at line 134. + Found 6-bit comparator greatequal for signal created at line 132. + Found 11-bit comparator greater for signal created at line 133. + Found 11-bit comparator lessequal for signal created at line 133. + Found 11-bit subtractor for signal created at line 134. + Found 19-bit register for signal . + Found 11-bit adder for signal created at line 124. + Found 11-bit adder for signal created at line 124. + Found 11-bit adder for signal created at line 116. + Found 11-bit adder for signal created at line 124. + Found 19-bit adder for signal created at line 151. + Found 11-bit comparator greatequal for signal created at line 124. + Found 11-bit comparator greatequal for signal created at line 124. + Found 11-bit comparator greatequal for signal created at line 116. + Found 11-bit comparator greatequal for signal created at line 116. + Found 11-bit comparator greatequal for signal created at line 108. + Found 11-bit comparator greatequal for signal created at line 100. + Found 11-bit comparator lessequal for signal created at line 124. + Found 11-bit comparator lessequal for signal created at line 124. + Found 11-bit comparator lessequal for signal created at line 116. + Found 11-bit comparator lessequal for signal created at line 116. + Found 11-bit comparator lessequal for signal created at line 108. + Found 11-bit comparator lessequal for signal created at line 100. + Found 11-bit subtractor for signal created at line 124. + Found 11-bit subtractor for signal created at line 116. + Found 11-bit subtractor for signal created at line 116. + Found 11-bit subtractor for signal created at line 124. + Found 11-bit updown accumulator for signal . + Found 11-bit comparator greatequal for signal created at line 140. + Found 11-bit comparator greater for signal created at line 139. + Found 11-bit comparator lessequal for signal created at line 139. + Found 6-bit comparator less for signal created at line 132. + Found 11-bit subtractor for signal created at line 140. + Found 1-bit register for signal . + Found 20-bit comparator greatequal for signal created at line 147. + Found 11-bit comparator greater for signal created at line 100. + Found 11-bit comparator greater for signal created at line 108. + Found 11-bit comparator greater for signal created at line 116. + Found 11-bit comparator greater for signal created at line 116. + Found 11-bit comparator greater for signal created at line 124. + Found 11-bit comparator greater for signal created at line 124. + Found 11-bit comparator less for signal created at line 100. + Found 11-bit comparator less for signal created at line 108. + Found 11-bit comparator less for signal created at line 116. + Found 11-bit comparator less for signal created at line 116. + Found 11-bit comparator less for signal created at line 124. + Found 11-bit comparator less for signal created at line 124. + Found 20-bit comparator less for signal created at line 147. Summary: - inferred 4 Comparator(s). + inferred 4 Accumulator(s). + inferred 57 D-type flip-flop(s). + inferred 19 Adder/Subtractor(s). + inferred 50 Comparator(s). Unit
synthesized. @@ -183,22 +282,38 @@ Unit
synthesized. HDL Synthesis Report Macro Statistics -# Adders/Subtractors : 4 +# Adders/Subtractors : 24 10-bit adder : 2 10-bit subtractor : 2 + 11-bit adder : 6 + 11-bit subtractor : 8 + 19-bit adder : 1 + 25-bit adder : 1 + 6-bit adder : 4 # Counters : 2 10-bit up counter : 2 -# Registers : 6 - 1-bit register : 3 +# Accumulators : 4 + 11-bit up accumulator : 2 + 11-bit updown accumulator : 2 +# Registers : 14 + 1-bit register : 6 10-bit register : 2 + 19-bit register : 1 + 25-bit register : 2 + 6-bit register : 2 8-bit register : 1 # Latches : 1 8-bit latch : 1 -# Comparators : 12 - 11-bit comparator greatequal : 4 - 11-bit comparator greater : 2 - 11-bit comparator less : 4 - 11-bit comparator lessequal : 2 +# Comparators : 59 + 11-bit comparator greatequal : 18 + 11-bit comparator greater : 10 + 11-bit comparator less : 10 + 11-bit comparator lessequal : 16 + 20-bit comparator greatequal : 1 + 20-bit comparator less : 1 + 25-bit comparator greatequal : 1 + 6-bit comparator greatequal : 1 + 6-bit comparator less : 1 ========================================================================= @@ -206,43 +321,116 @@ Macro Statistics * Advanced HDL Synthesis * ========================================================================= +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. ========================================================================= Advanced HDL Synthesis Report Macro Statistics -# Adders/Subtractors : 4 +# Adders/Subtractors : 24 10-bit adder : 2 10-bit subtractor : 2 + 11-bit adder : 6 + 11-bit subtractor : 8 + 19-bit adder : 1 + 25-bit adder : 1 + 6-bit adder : 4 # Counters : 2 10-bit up counter : 2 -# Registers : 31 - Flip-Flops : 31 +# Accumulators : 4 + 11-bit up accumulator : 2 + 11-bit updown accumulator : 2 +# Registers : 115 + Flip-Flops : 115 # Latches : 1 8-bit latch : 1 -# Comparators : 12 - 11-bit comparator greatequal : 4 - 11-bit comparator greater : 2 - 11-bit comparator less : 4 - 11-bit comparator lessequal : 2 +# Comparators : 59 + 11-bit comparator greatequal : 18 + 11-bit comparator greater : 10 + 11-bit comparator less : 10 + 11-bit comparator lessequal : 16 + 20-bit comparator greatequal : 1 + 20-bit comparator less : 1 + 25-bit comparator greatequal : 1 + 6-bit comparator greatequal : 1 + 6-bit comparator less : 1 ========================================================================= ========================================================================= * Low Level Synthesis * ========================================================================= -INFO:Xst:2261 - The FF/Latch <7> in Unit is equivalent to the following 4 FFs/Latches, which will be removed : <6> <5> <1> <0> -INFO:Xst:2261 - The FF/Latch <4> in Unit is equivalent to the following 2 FFs/Latches, which will be removed : <3> <2> +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +INFO:Xst:2261 - The FF/Latch in Unit
is equivalent to the following FF/Latch, which will be removed : +INFO:Xst:2261 - The FF/Latch <7> in Unit is equivalent to the following 7 FFs/Latches, which will be removed : <6> <5> <4> <3> <2> <1> <0> +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. Optimizing unit
... +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. +WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. + +Optimizing unit ... Optimizing unit ... Mapping all equations... Building and optimizing final netlist ... -INFO:Xst:2261 - The FF/Latch in Unit
is equivalent to the following 2 FFs/Latches, which will be removed : -INFO:Xst:2261 - The FF/Latch in Unit
is equivalent to the following 4 FFs/Latches, which will be removed : -Found area constraint ratio of 100 (+ 5) on block main, actual ratio is 2. +INFO:Xst:2261 - The FF/Latch in Unit
is equivalent to the following 7 FFs/Latches, which will be removed : +Found area constraint ratio of 100 (+ 5) on block main, actual ratio is 13. +FlipFlop lp_5 has been replicated 1 time(s) +FlipFlop rp_5 has been replicated 1 time(s) Final Macro Processing ... @@ -250,8 +438,8 @@ Final Macro Processing ... Final Register Report Macro Statistics -# Registers : 45 - Flip-Flops : 45 +# Registers : 145 + Flip-Flops : 145 ========================================================================= @@ -277,35 +465,36 @@ Optimization Goal : Speed Keep Hierarchy : No Design Statistics -# IOs : 19 +# IOs : 20 Cell Usage : -# BELS : 189 +# BELS : 1250 # GND : 1 -# INV : 11 -# LUT1 : 33 -# LUT2 : 1 -# LUT2_L : 1 -# LUT3 : 6 +# INV : 71 +# LUT1 : 76 +# LUT2 : 225 +# LUT2_D : 2 +# LUT2_L : 10 +# LUT3 : 65 # LUT3_L : 3 -# LUT4 : 29 -# LUT4_D : 1 -# LUT4_L : 4 -# MUXCY : 45 -# MUXF5 : 3 +# LUT4 : 127 +# LUT4_D : 4 +# LUT4_L : 6 +# MUXCY : 404 +# MUXF5 : 7 # VCC : 1 -# XORCY : 50 -# FlipFlops/Latches : 47 -# FD : 1 -# FDE : 20 -# FDR : 13 -# FDRE : 10 -# FDS : 1 -# LD : 2 -# Clock Buffers : 1 +# XORCY : 248 +# FlipFlops/Latches : 146 +# FD : 25 +# FDE : 68 +# FDR : 40 +# FDRE : 12 +# LD : 1 +# Clock Buffers : 2 +# BUFG : 1 # BUFGP : 1 -# IO Buffers : 18 -# OBUF : 18 +# IO Buffers : 19 +# OBUF : 19 ========================================================================= Device utilization summary: @@ -313,12 +502,12 @@ Device utilization summary: Selected Device : 3s250ecp132-5 - Number of Slices: 50 out of 2448 2% - Number of Slice Flip Flops: 47 out of 4896 0% - Number of 4 input LUTs: 89 out of 4896 1% - Number of IOs: 19 - Number of bonded IOBs: 19 out of 92 20% - Number of GCLKs: 1 out of 24 4% + Number of Slices: 314 out of 2448 12% + Number of Slice Flip Flops: 146 out of 4896 2% + Number of 4 input LUTs: 589 out of 4896 12% + Number of IOs: 20 + Number of bonded IOBs: 20 out of 92 21% + Number of GCLKs: 2 out of 24 8% --------------------------- Partition Resource Summary: @@ -341,8 +530,9 @@ Clock Information: -----------------------------------+------------------------+-------+ Clock Signal | Clock buffer(FF name) | Load | -----------------------------------+------------------------+-------+ -VGADriver/W | NONE(inrgb_4) | 2 | -CLK | BUFGP | 45 | +VGADriver/FRAME1 | BUFG | 74 | +VGADriver/W | NONE(inrgb_7) | 1 | +CLK | BUFGP | 71 | -----------------------------------+------------------------+-------+ INFO:Xst:2169 - HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems. @@ -354,9 +544,9 @@ Timing Summary: --------------- Speed Grade: -5 - Minimum period: 5.905ns (Maximum Frequency: 169.349MHz) + Minimum period: 12.278ns (Maximum Frequency: 81.445MHz) Minimum input arrival time before clock: No path found - Maximum output required time after clock: 4.221ns + Maximum output required time after clock: 4.326ns Maximum combinational path delay: No path found Timing Detail: @@ -364,35 +554,106 @@ Timing Detail: All values displayed in nanoseconds (ns) ========================================================================= -Timing constraint: Default period analysis for Clock 'CLK' - Clock period: 5.905ns (frequency: 169.349MHz) - Total number of paths / destination ports: 1505 / 95 +Timing constraint: Default period analysis for Clock 'VGADriver/FRAME1' + Clock period: 12.278ns (frequency: 81.445MHz) + Total number of paths / destination ports: 614702 / 124 ------------------------------------------------------------------------- -Delay: 5.905ns (Levels of Logic = 4) - Source: VGADriver/vert_6 (FF) - Destination: VGADriver/Y_9 (FF) - Source Clock: CLK rising - Destination Clock: CLK rising +Delay: 12.278ns (Levels of Logic = 16) + Source: lp_6 (FF) + Destination: son (FF) + Source Clock: VGADriver/FRAME1 rising + Destination Clock: VGADriver/FRAME1 rising - Data Path: VGADriver/vert_6 to VGADriver/Y_9 + Data Path: lp_6 to son Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ - FDRE:C->Q 4 0.514 0.651 VGADriver/vert_6 (VGADriver/vert_6) - LUT2_L:I0->LO 1 0.612 0.103 VGADriver/vert_cmp_eq00001_SW0 (VGADriver/X_and000093) - LUT4:I3->O 3 0.612 0.454 VGADriver/vert_cmp_eq00001 (VGADriver/N7) - LUT4_D:I3->O 4 0.612 0.502 VGADriver/X_and0000136 (VGADriver/X_and0000136) - LUT4:I3->O 10 0.612 0.750 VGADriver/X_and0000151_2 (VGADriver/X_and0000151_1) - FDE:CE 0.483 VGADriver/Y_0 + FDE:C->Q 19 0.514 0.925 lp_6 (lp_6) + LUT4:I3->O 5 0.612 0.607 hper_add0001<10>11 (hper_add0001<10>_bdd0) + LUT2:I1->O 1 0.612 0.000 hper_add0001<9>11 (hper_add0001<9>1) + MUXCY:S->O 0 0.404 0.000 Madd_prescaler_add0002_cy<9> (Madd_prescaler_add0002_cy<9>) + XORCY:CI->O 1 0.699 0.426 Madd_prescaler_add0002_xor<10> (prescaler_add0002<10>) + LUT2:I1->O 1 0.612 0.000 Mcompar_prescaler_cmp_le0003_lut<10> (Mcompar_prescaler_cmp_le0003_lut<10>) + MUXCY:S->O 3 0.752 0.454 Mcompar_prescaler_cmp_le0003_cy<10> (prescaler_cmp_le0003) + LUT4_D:I3->O 21 0.612 0.962 son_not0001557_1 (son_not0001557) + LUT4:I3->O 1 0.612 0.000 prescaler_mux0000<0>2 (prescaler_mux0000<0>) + MUXCY:S->O 1 0.404 0.000 Mcompar_son_cmp_lt0006_cy<0> (Mcompar_son_cmp_lt0006_cy<0>) + MUXCY:CI->O 1 0.052 0.000 Mcompar_son_cmp_lt0006_cy<1> (Mcompar_son_cmp_lt0006_cy<1>) + MUXCY:CI->O 1 0.052 0.000 Mcompar_son_cmp_lt0006_cy<2> (Mcompar_son_cmp_lt0006_cy<2>) + MUXCY:CI->O 1 0.052 0.000 Mcompar_son_cmp_lt0006_cy<3> (Mcompar_son_cmp_lt0006_cy<3>) + MUXCY:CI->O 1 0.052 0.000 Mcompar_son_cmp_lt0006_cy<4> (Mcompar_son_cmp_lt0006_cy<4>) + MUXCY:CI->O 1 0.052 0.000 Mcompar_son_cmp_lt0006_cy<5> (Mcompar_son_cmp_lt0006_cy<5>) + MUXCY:CI->O 21 0.399 0.962 Mcompar_son_cmp_lt0006_cy<6> (Mcompar_son_cmp_lt0006_cy<6>) + LUT4:I3->O 1 0.612 0.357 son_not000137 (son_not0001) + FDE:CE 0.483 son ---------------------------------------- - Total 5.905ns (3.445ns logic, 2.460ns route) - (58.3% logic, 41.7% route) + Total 12.278ns (7.585ns logic, 4.693ns route) + (61.8% logic, 38.2% route) + +========================================================================= +Timing constraint: Default period analysis for Clock 'CLK' + Clock period: 7.122ns (frequency: 140.416MHz) + Total number of paths / destination ports: 10442 / 122 +------------------------------------------------------------------------- +Delay: 7.122ns (Levels of Logic = 38) + Source: SpeakerDriver/prescaler_1 (FF) + Destination: SpeakerDriver/prescaler_24 (FF) + Source Clock: CLK rising + Destination Clock: CLK rising + + Data Path: SpeakerDriver/prescaler_1 to SpeakerDriver/prescaler_24 + Gate Net + Cell:in->out fanout Delay Delay Logical Name (Net Name) + ---------------------------------------- ------------ + FDR:C->Q 2 0.514 0.532 SpeakerDriver/prescaler_1 (SpeakerDriver/prescaler_1) + LUT1:I0->O 1 0.612 0.000 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<0>_rt (SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<0>_rt) + MUXCY:S->O 1 0.404 0.000 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<0> (SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<0>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<1> (SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<1>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<2> (SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<2>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<3> (SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<3>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<4> (SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<4>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<5> (SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<5>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<6> (SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<6>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<7> (SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<7>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<8> (SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<8>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<9> (SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<9>) + MUXCY:CI->O 26 0.288 1.140 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<10> (SpeakerDriver/sout_cmp_ge0000) + LUT2:I1->O 1 0.612 0.000 SpeakerDriver/Madd_prescaler_add0000_lut<0> (SpeakerDriver/Madd_prescaler_add0000_lut<0>) + MUXCY:S->O 1 0.404 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<0> (SpeakerDriver/Madd_prescaler_add0000_cy<0>) + MUXCY:CI->O 1 0.052 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<1> (SpeakerDriver/Madd_prescaler_add0000_cy<1>) + MUXCY:CI->O 1 0.052 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<2> (SpeakerDriver/Madd_prescaler_add0000_cy<2>) + MUXCY:CI->O 1 0.052 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<3> (SpeakerDriver/Madd_prescaler_add0000_cy<3>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<4> (SpeakerDriver/Madd_prescaler_add0000_cy<4>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<5> (SpeakerDriver/Madd_prescaler_add0000_cy<5>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<6> (SpeakerDriver/Madd_prescaler_add0000_cy<6>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<7> (SpeakerDriver/Madd_prescaler_add0000_cy<7>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<8> (SpeakerDriver/Madd_prescaler_add0000_cy<8>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<9> (SpeakerDriver/Madd_prescaler_add0000_cy<9>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<10> (SpeakerDriver/Madd_prescaler_add0000_cy<10>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<11> (SpeakerDriver/Madd_prescaler_add0000_cy<11>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<12> (SpeakerDriver/Madd_prescaler_add0000_cy<12>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<13> (SpeakerDriver/Madd_prescaler_add0000_cy<13>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<14> (SpeakerDriver/Madd_prescaler_add0000_cy<14>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<15> (SpeakerDriver/Madd_prescaler_add0000_cy<15>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<16> (SpeakerDriver/Madd_prescaler_add0000_cy<16>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<17> (SpeakerDriver/Madd_prescaler_add0000_cy<17>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<18> (SpeakerDriver/Madd_prescaler_add0000_cy<18>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<19> (SpeakerDriver/Madd_prescaler_add0000_cy<19>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<20> (SpeakerDriver/Madd_prescaler_add0000_cy<20>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<21> (SpeakerDriver/Madd_prescaler_add0000_cy<21>) + MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<22> (SpeakerDriver/Madd_prescaler_add0000_cy<22>) + MUXCY:CI->O 0 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<23> (SpeakerDriver/Madd_prescaler_add0000_cy<23>) + XORCY:CI->O 1 0.699 0.000 SpeakerDriver/Madd_prescaler_add0000_xor<24> (SpeakerDriver/prescaler_add0000<24>) + FDR:D 0.268 SpeakerDriver/prescaler_24 + ---------------------------------------- + Total 7.122ns (5.449ns logic, 1.672ns route) + (76.5% logic, 23.5% route) ========================================================================= Timing constraint: Default OFFSET OUT AFTER for Clock 'CLK' - Total number of paths / destination ports: 10 / 10 + Total number of paths / destination ports: 11 / 11 ------------------------------------------------------------------------- -Offset: 4.221ns (Levels of Logic = 1) +Offset: 4.326ns (Levels of Logic = 1) Source: VGADriver/RGB_7 (FF) Destination: RGB<7> (PAD) Source Clock: CLK rising @@ -401,23 +662,23 @@ Offset: 4.221ns (Levels of Logic = 1) Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ - FDS:C->Q 5 0.514 0.538 VGADriver/RGB_7 (VGADriver/RGB_7) + FDR:C->Q 8 0.514 0.643 VGADriver/RGB_7 (VGADriver/RGB_7) OBUF:I->O 3.169 RGB_0_OBUF (RGB<0>) ---------------------------------------- - Total 4.221ns (3.683ns logic, 0.538ns route) - (87.3% logic, 12.7% route) + Total 4.326ns (3.683ns logic, 0.643ns route) + (85.1% logic, 14.9% route) ========================================================================= -Total REAL time to Xst completion: 6.00 secs -Total CPU time to Xst completion: 5.42 secs +Total REAL time to Xst completion: 10.00 secs +Total CPU time to Xst completion: 9.75 secs --> -Total memory usage is 217424 kilobytes +Total memory usage is 225232 kilobytes Number of errors : 0 ( 0 filtered) -Number of warnings : 3 ( 0 filtered) -Number of infos : 5 ( 0 filtered) +Number of warnings : 61 ( 0 filtered) +Number of infos : 4 ( 0 filtered) diff --git a/main.twr b/main.twr index a6f0af3..58a94a0 100644 --- a/main.twr +++ b/main.twr @@ -44,16 +44,17 @@ Clock CLK to Pad | clk (edge) | | Clock | Destination | to PAD |Internal Clock(s) | Phase | ------------+------------+------------------+--------+ -HS | 6.320(R)|CLK_BUFGP | 0.000| -RGB<0> | 7.061(R)|CLK_BUFGP | 0.000| -RGB<1> | 7.052(R)|CLK_BUFGP | 0.000| -RGB<2> | 7.348(R)|CLK_BUFGP | 0.000| -RGB<3> | 7.565(R)|CLK_BUFGP | 0.000| -RGB<4> | 7.538(R)|CLK_BUFGP | 0.000| -RGB<5> | 8.606(R)|CLK_BUFGP | 0.000| -RGB<6> | 8.389(R)|CLK_BUFGP | 0.000| -RGB<7> | 7.981(R)|CLK_BUFGP | 0.000| -VS | 6.627(R)|CLK_BUFGP | 0.000| +HS | 6.322(R)|CLK_BUFGP | 0.000| +POUT | 8.112(R)|CLK_BUFGP | 0.000| +RGB<0> | 6.754(R)|CLK_BUFGP | 0.000| +RGB<1> | 6.745(R)|CLK_BUFGP | 0.000| +RGB<2> | 7.196(R)|CLK_BUFGP | 0.000| +RGB<3> | 7.322(R)|CLK_BUFGP | 0.000| +RGB<4> | 6.975(R)|CLK_BUFGP | 0.000| +RGB<5> | 8.297(R)|CLK_BUFGP | 0.000| +RGB<6> | 8.081(R)|CLK_BUFGP | 0.000| +RGB<7> | 7.578(R)|CLK_BUFGP | 0.000| +VS | 6.132(R)|CLK_BUFGP | 0.000| ------------+------------+------------------+--------+ Clock to Setup on destination clock CLK @@ -61,18 +62,18 @@ Clock to Setup on destination clock CLK | Src:Rise| Src:Fall| Src:Rise| Src:Fall| Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| ---------------+---------+---------+---------+---------+ -CLK | 5.493| | | | +CLK | 6.683| | | | ---------------+---------+---------+---------+---------+ -Analysis completed Thu Feb 21 20:21:26 2013 +Analysis completed Fri Feb 22 15:42:34 2013 -------------------------------------------------------------------------------- Trace Settings: ------------------------- Trace Settings -Peak Memory Usage: 129 MB +Peak Memory Usage: 134 MB diff --git a/main.twx b/main.twx index e496d42..53f5d78 100644 --- a/main.twx +++ b/main.twx @@ -332,7 +332,7 @@ Release 14.1 Trace (nt)Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved.C:\Xilinx\14.1\ISE_DS\ISE\bin\nt\unwrapped\trce.exe -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml main.twx main.ncd -o main.twr main.pcf -ucf pins.ucf -main.ncdmain.ncdmain.pcfmain.pcfxc3s250e-5PRODUCTION 1.27 2012-04-233INFO:Timing:2698 - No timing constraints found, doing default enumeration.INFO:Timing:3412 - To improve timing, see the Timing Closure User Guide (UG612).INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.INFO:Timing:3390 - This architecture does not support a default System Jitter value, please add SYSTEM_JITTER constraint to the UCF to modify the Clock Uncertainty calculation.INFO:Timing:3389 - This architecture does not support 'Discrete Jitter' and 'Phase Error' calculations, these terms will be zero in the Clock Uncertainty calculation. Please make appropriate modification to SYSTEM_JITTER to account for the unsupported Discrete Jitter and Phase Error.CLKCLKCLK5.493Thu Feb 21 20:21:26 2013 TraceTrace Settings +main.ncdmain.ncdmain.pcfmain.pcfxc3s250e-5PRODUCTION 1.27 2012-04-233INFO:Timing:2698 - No timing constraints found, doing default enumeration.INFO:Timing:3412 - To improve timing, see the Timing Closure User Guide (UG612).INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.INFO:Timing:3390 - This architecture does not support a default System Jitter value, please add SYSTEM_JITTER constraint to the UCF to modify the Clock Uncertainty calculation.INFO:Timing:3389 - This architecture does not support 'Discrete Jitter' and 'Phase Error' calculations, these terms will be zero in the Clock Uncertainty calculation. Please make appropriate modification to SYSTEM_JITTER to account for the unsupported Discrete Jitter and Phase Error.CLKCLKCLK6.683Fri Feb 22 15:42:34 2013 TraceTrace Settings -Peak Memory Usage: 129 MB +Peak Memory Usage: 134 MB diff --git a/main.unroutes b/main.unroutes index df23974..efdad7f 100644 --- a/main.unroutes +++ b/main.unroutes @@ -1,7 +1,7 @@ Release 14.1 - par P.15xf (nt) Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -Thu Feb 21 20:21:23 2013 +Fri Feb 22 15:42:30 2013 All signals are completely routed. diff --git a/main.vhd b/main.vhd index a0ea709..011182c 100644 --- a/main.vhd +++ b/main.vhd @@ -8,6 +8,9 @@ entity main is CLK : in std_logic; LED : out std_logic_vector(7 downto 0); + + POUT : out std_logic; + RGB : out std_logic_vector(7 downto 0); HS : out std_logic; @@ -20,12 +23,11 @@ architecture Behavioral of main is port ( CLK : in std_logic; - LED : out std_logic_vector(7 downto 0); - IRGB : in std_logic_vector(7 downto 0); RGB : out std_logic_vector(7 downto 0); + FRAME : out std_logic; W : out std_logic; X : out std_logic_vector(9 downto 0); Y : out std_logic_vector(9 downto 0); @@ -35,31 +37,137 @@ architecture Behavioral of main is ); end component; + component speaker is + port ( + CLK: in std_logic; + + HPERIOD: in std_logic_vector(24 downto 0); + PLAY: in std_logic; + + PIN: out std_logic + ); + end component; + + signal son : std_logic := '0'; + signal hper : std_logic_vector(24 downto 0) := "0000000000110000000010010"; + signal inrgb : std_logic_vector(7 downto 0) := "00000000"; + signal fclk : std_logic; signal w : std_logic; signal x : std_logic_vector(9 downto 0); signal y : std_logic_vector(9 downto 0); begin + LED <= "00000000"; + + SpeakerDriver : component speaker port map ( + CLK => CLK, + + HPERIOD => hper, + PLAY => son, + + PIN => POUT + ); + VGADriver : component vga port map ( CLK => CLK, - LED => LED, HS => HS, VS => VS, RGB => RGB, IRGB => inrgb, + FRAME => fclk, W => w, X => x, Y => y ); - - process (CLK) begin - if w = '1' then - if x >= 300 and x <= 340 and y >= 220 and y <= 260 then - inrgb <= "11100011"; + + process (CLK, fclk) + variable prescaler : std_logic_vector(18 downto 0) := (others => '0'); + + variable ph : signed(7 downto 0) := "00100000"; + variable lp : signed(10 downto 0) := "00011110000"; + variable rp : signed(10 downto 0) := "00011110000"; + + variable cx : signed(10 downto 0) := "00101000000"; + variable cdx : signed(5 downto 0) := "000100"; + variable cy : signed(10 downto 0) := "00011110000"; + variable cdy : signed(5 downto 0) := "000010"; + variable cw : signed(7 downto 0) := "00001010"; + begin + -- called at 60 Hz, handle game logic here + if fclk'event and fclk = '1' then + if cx-cw <= 0 or cx+cw >= 640 then + prescaler := (others => '0'); + son <= '1'; + hper <= "0000000000111100000010010"; + + cdx := -cdx; + end if; + + if cy-cw <= 25 or cy+cw >= 455 then + prescaler := (others => '0'); + son <= '1'; + hper <= "0000000000111100000010010"; + + cdy := -cdy; + end if; + + if cx-cw >= 50 and cx-cw <= 60 and (cy-cw >= lp-ph-cw and cy+cw <= lp+ph+cw) then + prescaler := (others => '0'); + son <= '1'; + hper <= "0000000000110000000010010"; + + cdx := -cdx; + end if; + + if cx+cw >= 580 and cx+cw <= 590 and (cy-cw >= rp-ph-cw and cy+cw <= rp+ph+cw) then + prescaler := (others => '0'); + son <= '1'; + hper <= "0000000000110000000010010"; + + cdx := -cdx; + end if; + + if cdx < 0 then + if lp-cy > 0 then + lp := lp-4; + elsif lp-cy < 0 then + lp := lp+4; + end if; else - inrgb <= "00011100"; + if rp-cy > 0 then + rp := rp-4; + elsif rp-cy < 0 then + rp := rp+4; + end if; + end if; + + if son = '1' then + if prescaler >= 5 then + son <= '0'; + prescaler := (others => '0'); + else + prescaler := prescaler + 1; + end if; + end if; + + cx := cx + cdx; + cy := cy + cdy; + end if; + + -- called whenver drawing, handle graphic logic here + if w = '1' then + if (y >= 10 and y <= 20) or (y <= 470 and y >= 460) then + inrgb <= "11111111"; + elsif x >= 45 and x <= 60 and y >= lp-ph and y <= lp+ph then + inrgb <= "11111111"; + elsif x >= 580 and x <= 595 and y >= rp-ph and y <= rp+ph then + inrgb <= "11111111"; + elsif x >= cx-cw and x <= cx+cw and y >= cy-cw and y <= cy+cw then + inrgb <= "11111111"; + else + inrgb <= "00000000"; end if; end if; end process; diff --git a/main_guide.ncd b/main_guide.ncd index bda6523..d978507 100644 --- a/main_guide.ncd +++ b/main_guide.ncd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6 -###4424:XlxV32DM 3fff 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###4824:XlxV32DM 3fff 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###4160:XlxV32DM 3fff 1028eNqtm8uO5KgShl+md2djwGBIq/fzFCVxlWpzenGWo3n3gyHC4HRUGufktDRZlZhLEPF/hoDS82N6rN9Gbh9/mBDlV6bK1/84Hqf8w1Y4/f0r8AeTfP1vsI+Fr7+CeAge119Mucdi12/NS1190aI3+QfetSjWv+JSWk31v1jbljO07dZvNZXWaqP/OMa3H1jXiIZn/fpHCnzIPD1k4KHQPRS2lkX3kC1DEQoGoaBOXL9rnW9ZLPlT7CgtsKcWXG0Bh59+qPk/PpWp+Ps3D+lh5Pp7G4w3pcRBSZ7/WsJqidZbZ7WKntfyQP780stWLS6tNM94Ld5++Nr+tz2QuuocqvNcnedS5udayrx85Nkv45Fb42Ir5baOiXn1yE77vc2v3Eq4X2C02TI1rV/Gl+cVPi/heYnPG+xHPdis1lIRzYRHdJuAHEPrl5iKAV5gV7J2VewuA4eJLE/zHETb97IZBB3JfaZLR9sQdqu8y7bG8m1XMX9bbZ5hgHkgWxzbHFvmkf+f/b452mSHZ/9nv+9SqG7XS3G7jlUYS/3w+eNX8Dle5Lrr408eePnM5m6fjpW5nVt0zaZGl3iKT3mKMleFNyuI0zKlfUsQ6eypJXXVEvf+KOHZXUl4OUmYR/ZEFlbJAsPhHqqaYbJETrNqep9V6V1WFc8qtvbMWkaY5UaYxWlm8XFmCZpZ0zWzAgqTgZI4MithCYcS8cSsXKUyi1PMyqXALE4za6vOoXpjlkKlLqBQTjJLA4McAgbtyD6mmLXA8ws+n7AfjShJu5nwSGwTcGCWxa58zyzXJvLALN8Mgo78PtPALN2s6pi1tIqVWRpmRCCzMr1oZskBZpWQntN6YJd6yS5Dsoun++yyJLt4uM2uEvlvsasanNaeYYJkmErvM2wmGabi2wwL6t8xjB8YpkcY5kcYNpMM43GcYZJk2D7pPzOMMZSeAGXNwDCGy4vsh1oinxkmgGEzyTCBDJt/YJgAhs09wzQq14BiZ5JhFpjkAThhX/YokmEGntf4/M5KC2jJ36CZ8IhsE9AzLOCUZec0hpUXEUxkz7D6eqlDgI7aTAPDOqs6hplWsTLMwozInWEZiw+d/8UjwhSNMGK9tbxklqOZ5e4yiwfziVWSpAkT3ieMognj3yeM/5Ew3LxkiziwJQywpYbwGRt2HBsLjQ1zjY1dG7iJUBUONZhL2DoIV9ULOGKoOxBkQLXhximYXlW69dOrqlFGImXUD5SRQBnVKMMZdhZR9AtQL5g2fJCr2S0EubpmQxFmKMutHowSwKg6MAbX+jzgxKPhfh8LTIltJci0HGPaF9Vn6RxUn9cB38oO7bJeqp6nY+zNC0SDyLEHcc5sJNEwx9vLGZvI5czs7y5nmNMnyGwteQktyZ/R4vhxEIgWR6DlggBOPDUFTLEEUy6aMuzfLVcOSBFsYCXiDImUOQwjxVkSKdkXV0jRuGdy4qAPDfrY/NvAoHHP4ngPhhpO29P5h/YudbgfyHFbVL01VsTmIHFTIxoeKarODRR+OVvVzcQETXMoyU+WurvuHa+6d6LT/aSbXbVLW1ljp9Zj7akseb5yqGzVVDMQR1oRtaPNcWCfEwf2adx85QBqayHB28wU9ApMMJl9IAmYZ9g+1O2RLRY5DR13Y5v0Ajpuonlib/PEMZon+vb2qEjnU9sjN9OEMW8QRtKE0W8Q5gMJ6DSQy0kjqRznaPiYcfh4Gj7LJXwMbnbcfFhnGFwoOHmAksFtSg43kAwsExzuSCbbmqwlDrNDvjWKdWqJwU2E6VO6BnPRWSXQmdybrPRyDCHJeuxNrWJhmmNAGv+0YnEzkEs2clWWQvVazbWlXPKtxw0nCbNJDU8z4kke8GSQbKZLkSfM47ipNrfs5LE0efw1ecKNBM3zEgAJpO4TSNAEmu8TKP47AoUDgRRNoOUNAi00gdR9Aln+uZRMMgMkCiMkCjSJ5DiJIk0icU0i3CY4dSCRxaxDnvyeRGUCi0gFwMHigiEiiWJrspYEzPFMrVGsAyRCeuUY7EiEi6Rt6VFJFPcmgUQChSx6EvFWsZJIAFLiM4kUkGjpSFTwBtVrtUCRSFR0hBOJFJJoOZIIl5x5yggS8dqcQRJZQZMo3CDRKwLNNIH4fQJJmkDTbQJZ9UkCaZpA8g0CGZpA8xsEsh9YA9kB8sQR8iSaPGyYPH6ij7PSJXksplCznw7kwaP5POkH8mCmx+GJcv6mwMDDiTJnvDVZMZGQPHNrFOvUEotLMas68ljM126ritqZ2psE8kgUruzJM7eKlTxwoO+nZ/JoII/pySNa9UqeRJGnHuuneCKPRvKYA3ksrivzlBHkmWtz++7Luhw5GYiPcCRPJDO85OnUy5P157UBnqzfTudwGz+5abI0MMQbwHA0MPh9YLif0zLCD5+BpzSQ4vWMPt0ez8d4TuPg8mSoyqlEPtz38KxFfhONBdG4XjR47OIUHF3wqjW+Z1kcXnexsIDwmI2wuACy/crD7nsme4CT21cw7gAnty+XIJXhObafWknLjkxTG3LRXjpJ2aKU3UHKTLWRoSXAON3GhmPYSnIMLRkHj7zSebjjyY3GHO7LPEoW8AICFlXAIZECVuz22iFO5NpBpttrB/dJFHj+gatyExvQXJnSq/d0oo9s1TQszEQf2cp4JUyLa+EAacg0t7RhDQQoLdKLsHdPEtKYESM9Tu01WZ0F9aowHQgzwTEld7sEe2F6HE7E5ISH/UfCg1SHivO8VxzmIwPkIycgAJvmNsJagtdKFliKbIPKSsoQKGNY8AA1lSPqzID62lw8rTKDr82XK/Wzyqanq5Yzxs/cHY1ERkpRhvtS5LQU3f1bah9cxrN4ThqWcxb3dM5CCXDk3leizzWlH9cWfa6ZG7p66WHWfXN1kU3Ei0YRc2kRcmipP9eMrJVW2cFGNS0oOwz3yDvZ7ZcrI+YRPSxrk8KrVPs1z249zCImICPsxDe31IrL01XUraSTHS4yIwNx4fMLrmaTOogLj2zTchCXnmhx2RfiOq9JCZGZgfPHKGiRmfsim2mRLbfPH6Ojzx/tD+ePxFuu3MD+1CXLaSTpXvYchNj0uNgMLTZ1LTbE9gR3faJAsWG6KEKaKOlebKKVVrHB3iwZFNv+Bpm7rWC0rR5oBhZmCa8F7Vdxckn3jsOXasTNZ4C76Mk836HMJZ3YfBtHFRtmyTW+hXPXndg0UiKZo9hmWmxuRGyUyMKAyKY08rqTtBLlfSUqWonivhIDrUQzrEQWE/2S09cvuWRpSc3jknK0pPi1pBDWUwBJwSKMYap382otwfssETMkEd55yfZik620ig22g8mh2PCdGfs/G4i+1QOxBdCMxfsteGiVS7o3G65Eo8KKcLt4P2IKu7y7C8tc47fJHiSFw0vuKCmdY1tmUZmjpNq5EvnnCWctMTbywqL/dkHe36AlRgf3cnnNhSVBB7X6IaiJl0rydHDf2PgE+mjkMkHJMJu4zXgN4QVDGLODEW6+J9+H8L4QgtvgKUDopqnVq7GWIF2Q/NO9tK2kBWnaOQ2XOvZDh2BbSRebuOpK/hCb+8Ohj004FMv/IDRFCU2W/VJeUOt/yv361dmjQ20NKvscVGz9trqLJuihxaytXgx4tRoXyhx7yiYvkF7NfVq9fvltu4mHT7a+iPOvPuaiWIxwtBHTsxElQ3sywvFrIxxpBN44N2cjLEAwd9lscNUGyA/nXzsbPG1DPNkgSRsGHOEpG+I0YIPsbADY1sz37/xrZ0MgbQgnExRpwnRtQiBNYAMmqM6EACbUlGH+tZnAxEz7wT4bwUQgFWEvrah9nM1YfpYE31MYudfdkNqQqN8W3OQvOndE0pRzRC2kIenaHZG0gw+4Y+ncAW9hV5G5XYrr3CFpd/izOyJphRlwhyTN0EPuiL07cAEj4GJf/qJzRyJNSSd3aNKQeO2ORNohBtyhO3fADsTp6o50cIei3bGc3ZFIK/SAOxRphnnlDlze5V47d+CrWkCCMn/RbKnp/LMp/OQPQ1oSLi0pPZwNmQf8YZoZeLPK1b/N2O5Xdf5YaCP0yR/zRFqxDPhjIc2wI/7IvXb+wKuxM9wRy190/mC0KezkD0ta4q/9wUhD5IA/bOcPyL85W/3BDv7QtBHmYMT/AR0r340=###4776:XlxV32DM 3fff 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###5132:XlxV32DM 3fff 13f4eNqNWkuS7aAN3RIYY+B2vWVkAfw8zCSV0avsPYAkg0/bN+kJLSSE0B98f/5savsE90Nj/vmTj08oP//5lz7rR33M3z/b5j8h/NB4NgL7Mco0ii2ejcIzRWkUMQ0WfTRqb//Ukyb2SntYO8a+Bw+1M2rr1WcjRttZf/4YffSFHRUmavDsqCN21J4b6mgomz5+69wLjyeNh+bR0NhOuJ2uL3XCtS0dgrUJQcUFlQnF520zF4rF3LpqOipPFIvZUF1MHRaGvrKYg5+7FhWWIl9S1AWVCSVSFLZL+2dK4fpYWJ+2TpIpjWeSYd2w7E1SnSyVV4I5Sao2wVL5bUFlQolUp2x5ilSxK4C2HKY81CRhqeLwrEFyDqnq3GBI1U1IUu2MaTMk1X5JdSyoTCiWqs3Qlu2fKZUoYqw+tkkypRJ1DgtGNTcgqYxIJY7bZkgqf0kVF1QmlEhlZEvDUqk0Amhs6QfJPklIKhW6cYl0uFztGk+NJGVy78xuniONhd2/OBp9/uijR2XY5J/I/0Qj/yT+p55ykOo4zJKsz0KdZX3biv9xst7J+pTn+qGjlOnUPbLGRKMdIyt+2x0fMZ3L0kxLKbH0pZmXel7qeemwWRZ/6dqprJ2h/WyYaWabZSPy5ClPZaaZmY6jZLcszbSU5clTnsxLCy/1Qx5x0i5HZSuRPN1R9r9jhuSJLE+LLsrMir0i99C3TJqJlPbvpJlJ27752lYctG9X2SnGtkUzr8IBVbRsu89tM/Eapy/7siLTCt59n7sHWhHG7hIDhQOnuyLt7oQX27442d3P3R3xGtm+RNZ9YRW3kXf3bKY0bVDYBnXE78liePaFFgBDDJ00Y/pMpYhgjBEMKy4awVjGRLZki5mBiaLR5pytQv3x4aN3+/NHO/s5Uheo8hj62FhVSSxthWcPpE2qZFrHIjcDM0Ycu8+wuRgjLtZnWJWEcSxy3D45kkBddU2raiOtarMTylgeA4+RxzzGvkCWavJbbQ4mcTx6JiWHaqRayu1J3PsEcfFcUr37xH2oLNo2bTSrv//T8wh1BOdAaUEdnDQ1p5o+M7Jm/4dWKcoTI2sOJ7aWjdSk60YaXuOpNRlLRh/keezSdx6Ui9sSwmhmKqfYds/O2VGBSU7mzrv05E9cWY/jOL01mktZbSP77IlYNYIpWOCx1/DtFCepXKnrKSXmVAuqLzqvIl4lKHuERYo0XqUUpyKleM8uxMkxFTmmejiOTNiZ7EkSDrPfV0ylFMgoK0L1djDS0Whn7dgPWtru4naYFmnnJ6atyVLbs53z1JXSAkn8mfqD0WR2RV8JuPegYcyLWG7BRHIFVsjOm3R5QiS5GFWLaNiRhqsTlJbeivubPgoqThRpuIVCr5jdz6S9VFyf+wxFkL76wRF/hpIJrev/kdc3f/SOUNwzpD7RA2MhGTGjyOv7KBY45KiWdm8KIpRRp0Sc5pZOC0pvgtok4hK1e0aOauSoAzUiSrPuxsxJfY+EcJJV5eoNx17/+WcI5Ar/bKWghJ9/l9az//TpcE2ntEzHOZ2X6TSn6zKd5/S5TJdrOqtlus5pvUyfc3qb09w/jmmzTOs5vS/T25y2y7SZ08cyvc9pt0zbOe2X6WNOLxqMbk7HZXrqOy+KjVPfeVFsnPrOZZluHeWn/O2w+vlHaf2xNn9N/4uHty3TKFWUr2f7271NHdZnMB0u3vo+et9a8D5frOqw9XrvcE3n0eHodehj9m2m/R1Wj1H7c8wnrQ3Bpa6wMcp3PrIe6RHfNiXY6u0JbnSuw8nReu9322HlNzfgsg364jf1BON+CCfmHxPx31SIK//gw6DftR7ndCoP/c39qya6zT/BqM/q7Tn0riKdXx9j/8PH8gwTfVUxPtkza6s73BqTc13v/JHW9cEfQ17b/LXDxke/wsIf/SH4fcxHRXpCf2iJjPSstH/yh6TqsOOp9PHkD0mdZcWjvXev84BVO8iDvQQv69FehwphxaM9ZH/hj/qIKrqb/kGf3g/yC0Z7KG/N3T53e8p6gcU/dkX80J6RwrZpO6Qne4q83rP8QN9zfux52Y2sEiSR9Szit7/Gm6hYhKRJ5qLs2OI4B4cLNmfa1iNk3vINH1U6vuFPn9zTfoIvKptv+HYVsazyR/lxv5YJ9if6oaJeo3QaOvJh0dHmRqYNLdMmXymT5HFs58PwxFCqeoLFMs4THKwdsFXJ3NTInvCGD57U+IY/Fanxlb8nNb7hN0VqrGof+NbLHasnK/bkN3yLuHE+bfe48he8aXr5hg9NY9/wLTMGimxr1/1FfseR+IYvjcM3fPRu/4ZvOxxf+bfSOuxdQl3lF/uLfd/wYt83vNj3lT/b9w0v9kX5JfMk5cuTfwje+xC+4WuroKv/X/7B+wt/iR/TPOaZ/hgVKJmknuhzUSPTnplGpPdejU4oJZ+f6AVvo3ZP9O2avK/xjefF/ZD+TX7BC72cB+3xxv+iB35ob5QH4wn5YTyPNFivSuHTkgX11rKg7sUiXlaN3Bd46k88nxrgZKgeBZW3J1jq2dYauRW2PuvViwSWeqp5f4lCgWc9L+ZezwnG/a4ogf1Pf+8HBLaK+jEX7v2a7B+tj5SVCI/0qD+k9yVmzhr2mzyyHumjI/06lW5w9oWytCd+ul2GHumB/xu98Pulb+CH9hxeRt+8upeZvHqZbV62NT+Lpw9Dq87mvHI5/N2KCLeW4VxPUfgUmyL8rskrEcb93vavvJ9nLy7gxV7dvaqAlQ6wssDXecQrYL14SRIrA2zYy1J4hsVL27L0BEsUCfwmz4FR5iHKXuyhrvMQ/KYvgasm/SjOIqhv1A/SX16nIIswLPidW0ikf7Ov0HcvTvQprntx3BYvNltvGKOoVAJJuk5xOYTFJMUn+wRLuRV4iKCnCPpdBNGSVsTCBNoSYdkygwgZRMirCNslgom3ivGXAlma1MjNOze1fDcYLPqrTCAWa+ut95EOlIlSNBIkHcdJ+w1vqfN4xVdwR6GPV9Ep59N+s7W/F40K7o78CxSlAkVJFKuu1u6eRKu0ile4ETwvmem4XzIJlqK8+1Se9DEvkdRq/i96PI88UhRHNy6UR4U9k77YsYD+4HR1WnISpEd5kF7SaWul45M+8XxI/7Ze9Du8dJ9eejx6qRSNTZcbl8BJTXZpDf299ZEGn6WMIKXQv62vULplvRQ5p0lrCKO8b/I7dY8K4S9FqLI8CEsRquEZFi8xfH6E5709uafzzii7R0n29ygUGO1xXcDUPYrxvAK/2WsD+VoDvq37C7xxK3WEVJ7of9kP6N/sI+cbXmrH16dREdTipUdLx4eJ8rokLpSLdxxYj/BVp33an2A1A2uHwLf3O6JUlPv+SC8ml0BF+nHE4zpiOH+/Zs1rPbHIxbrVKxG+6iyIcEWhPdiLOEgAzpZUlriVQ7hdDKhhLqk+wdPr7iosoMICXi706vJSgoeK3CzK+3tRznxEgSvDg0X/blEGi6Os72H7eA875k2wKXK8rzhOT1FREkW47UZwYkMAHEse9/S0P8NNoScn/Uf4Kkqc9KWHO6FoGQj3A8JdYDGE5/Ndvskw8pP1yL9AOiqQjgoU/QJF3/B+kz/Bs4fP+72HzzdH0oDXgDdwPoGlpzZcdFGfaH+kP6wNHEj2aT8p4rlk90QfrjsO+Q/S4/6/6F/296A/6elRX7gf0r/hL3u8+J/418n+G8q96TBXPKW0yo/0Ej/K5Ed6tAfSy35J3ctVgjvPCeVMYOSP/K5y65/5va0X+jf9lbXc9c+ombLU7fZRR5bqV4eTP++BVBlukv2uzOmZrgJa7kh3GPm98a8gteKPGeraL5snea6mReTj7zk7fL/z8L4Thd5dWitP8PJycj6tn/h7lkLYc+sv70M73yGRX+tIwvreJ1HSTnjLQq3V9/dX/XuWPeBqdsDVzMPVysPVykPT6KGcep/gexpkWQVZFuRXgBdY3v+Kvrcb13kLZa0iHRfQo3/+L3rU95v+Rf5X/2L5ftkX6H/FD9BjPKj5UqS++Z/Ex4jyODu+ukR5Go/SevZ8sxRQjyapBWFpcxV/3Ee4tS5qTaUIi5AanPQXrL7DDtpqB221g7Y6QE8YFDzUqPt7gOCl5418v0V61B/SDyOkaYS1IXStp3TTBOIHWznkiWJ7giOrPL7AS9xinripwIFJPLTFc/3h1is+8kP5kX6oIF9t9Vbf2+qNWVxt9urKZfzgfBQst7bV2yhYu4lyS9clp7tv3dtKhPFtBuEACTJxm4L7ve1fVVHr/WWz91vozm2vtOWbzV/pNRREgVE+SSiOb8EIL7G1f4MDfuBg/nJNKYraOISlbWrd1fkEyzWl7s8w6gPhK+FBW44JW0NBUTqrb/S/YP8dPvDaAAVNQ8E74FohMJ7nKhCAD1CQAxRk9NcABX2Ha9d+vbJ57shYv6AvtD/So39JwcNEE+EDpcAYL/OVqqj/h9883x2+4uNlvdCPLFNnlrnd//N4q2ydtTTfh7pH+eaLvr1Qs5aQ/m194axTGH+2aFmjpqb75UXgFq38mZQ+uCFcOGpUKccTPCtovr0IbypDVsyP50N539ZfbROsR3p569ThHqWJL49v+IPxyF/eVjXzRxj5vfJX9yjE81jAO3i8cPh4AVFcoCOR815fw+CyfQD+QDxkIZQ/QRQmiKICbWeBqij+rubPAPT9sn6PMnyFkw4K6dGfkH5E6Tk7qvz7rVbx1zlJRK6QDo79/rM2SWRIj/Dy/mGf+M3EnfYn+Lq5QlPqoSkVuB8xz2+kIf0+4vwJFR1B+nTJKghLn96sdDzB8mSw8Y9SEZ6fce+XR4S1KuUJnr0KweOI8xvs5r98AOUjnvMVbmppm68Y62fcLbR0vXcu1e78qxr6lffBH9Cacc0TLLZpTnL71UlLF7cPgo4Vi/B0Z3gFANhBUUZ4U/dwEvgKN8BH+F4vsJzPtNviPT3Qbwvf4NY2pG+w9vTbtTf+yYfyFVbf4cy/nZsfLAtfyMie8hb2Bge+ZSP8Jm+EdBrh9w1O3cuLwOgvEssCz1cD8j/BC4znnb8UCOFJ39ev4hle0r2FdA/lwpt7uSBY3noLNrXq/lYr+kf6cH26zO6JHuNnpf8v3TPe5A==###4564:XlxV32DM 3fff 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###2872:XlxV32DM 2319 b20eNrNWTuu3DoS3cwsgP9Pd2LMpC+YF8wCSJEKDTiYqOG9v6Ikqg55qRv7Ao3mKbFZp/6ULYTYQyg7/Rlpd0F/PgTdsC82NmxDCoj7fh+DO/aLrFb7hUi+YSOyW+3//ftnEvYlXvrzs6RXCe//l/hS7/+V8FLqo5VO/QgdtuMnNqbjCBc2ucJ9f8eHCneryA5UaFKhdUrXT5So4rQiifOvyBW+VYptiW8KAih4phC/WlnD5XjhDQYiBq9XuO/v+FARSIU9VRhQEUlF1Mn7MzZFBIFHbiLoFQ5nqFbPBVLYpvOIx4bPZ2wvE2c+T/z678N+Ps9f+YvT69f50dXV/ln/vP9wYeRcVF8TpR+xB3/koryO8NHnFe4Uy+TCMrm444NC4kRJQMEQBcMUVDitKsKUhmukBwvcVdqJwoy3sMZ3FgSgmNlL9rli3VX0vTxCyHaF+/6ODxUbe2HRFHrF7qKo8ciiVzjdKgpbUdgK/azChWLwyDipiJOKiCoqq/Bf06kb7sV2+no/+0wNRaxwV1kmCmWiUJDCzhTMs5VmslKHsdV1zN243K1NClYhn9MhTkcGcZT1F3ynw4UPFZJVuO8ybnRUnFTESUVEFYozzj87SorR9+QItcKJHXVPOqlZhX6eAdTw5FSKYoXvBnzhQ4VhFfabMTPN+xTOHjvjm9KFDxU8r5N+7pH2VuGu2IS8wlsJB84hpBXusaI2X24KPM/NM4MUkkeNm0h2hXWw4Ug47csK86yN8WbA4zx9M85TiIcRdOKRHXWLfoXvwSLO/YeKNs7NoQKrypMGD6NIxIzTrMZoV7hPQyPOm9mM8z03zpvZjPdiMt7sZkxOO3DWt1MH3PnmkNx3z+XF5/BAvD2Q6vMoLHecTywu/PQ8Xyqe9ouH/bcJSJGndfqmw+er9zkb8lniJazwLtJZPLrkFeY5VrnkeRqn/TkV+zTuVvVpO+O+H6ex5GmcFncSF6waSj6eBUTZb1fY5TP3/OXIGfMdZQxEx3yTO/FBkad5ys8U61UunRLlhlriS6UOI6UZm4mimSgapMi3gVQWuRKsvtrSQbFKe+XOVSETvlVO6WqmdO74oMC3gbQt5uhFoV4UNmnlVZRL3Pd3TCqsf8mX/LSjxZtga2Ly7NTiUkjSeEv1xtLM0p2lG0vrLXWCpYWl6lYu8dVHi2s4izoM63S98MnefEIcok+X7B2fm3AMAOgcZzb18/vv+WJ2FsSMxX1RG8dwP4870YU3cWSvUPIgFMWJDc2hFd9+ae94tre3kY4pblEPcYuW/etu/0aIW2QpxM2zdGdpuKVJDGqS5E353kSBw00FtEreBFo1S0Er51sdtVbQanmTYqm6pVJy/qnEYmVYnEHsWFxAvA0EpCoTrvyzHX7G1iiuE+mYv4ogZgOUA7EddTmgaGAb2MlRlJ4LTbGvZDLjoYnzRYHzEuuSFcTcFZQEMQdaCRAXPgSck3YodsJTkCXEU3ICygqUIHCVKUnwaWWvSIh+neJXOX6Sw66o4+M2pdiZkr2kIJekBTEw1SBOLDYgzpMuYM7xVHbMRGWZOWeYglTaQco8wUjHnqsgjaMeB6w55CqyIgdSfUsDSMdEVpH9k2CXv6VgduQcAg8X1mPB+sJHWDi5UYeEU9CBbIZt7HgLbipTLlTOBcsJpyVQCiBm11sL4tHLWuYJAxdOIQ15aB2IORiWM0tbrm2rQMzuNzuIJwq2TJgTzrB7NGScFSBmuw1nl4Ygmw3EcNsAn8aJQtxHnNgdhnNGJ9CdQAx2Q4QKN2UDPi3jXNUFKEJEYMYZcH3hqjHg+sLZbLiYjGQfegdiTilvQGyGdDaS7fIWtjFh70E8htlApsGVzpi6ukcYw4PNsxeM5UB4sMuq1bXDWI6PFyAeb6DGphEHZuo4rCawT90OYjbAgV2RmboCYmhnGcRj5zJxvOCYjcPsIEIbNxgHdm9gAPh0A6YQqAJMIaxTXprixnu7gBYBl3HBWe4kiKFFVBBPLwOCs9xp2Abmw0sC3H4C22k1UwgexHrVT60eXW/1ZCfMQ3lg9mLgUFi37JgWulYAg5yZXoLGyWEhBQMYDLkWwLmQa9AxbVSjkhxGJTlOmLPJwzGZ895D6DJUKLyiwRQ7DoUp5sHrcMP2CcTQibh1usyHBD7EbeNwc1AOhYvL1THCrvJsKGynF2xQYTs9DNZkQczNsHD6B8/x5rjRCyb3py6lvx8//vPJ1LuoVwqltSDBvz/hUw6Nr/ZPKfWVqDwT3R6zpG+/vTLla9bt34f3VzaCPub9q7j3789Zx3Q3fyVK9URX6EQ34JQNfRx92hs9nbHRyRvhrf0jA62prhPNnEQjI9HLUaq0n+66aadnOz3bLX3o2U66haGPpk8kPvRNPT5L4kL3gqwkfUiuAn0K8aPnmvZrkmnib+jb5Iur2ptH1IvOf9GBdG5rEORS//6XIk10hfn9aS8m4mVoE110qWKSelF+SE12qrYttyKg79huV3+pPbzs5y/6jf78oib5U+0U5/dP3c5//14/kE8P1NMD/fTAtJB+zpenxll6Oj5T4tWd3ngK2ZC/8t7+HN6++5ouP4rKUyv6UftF8l951z+Hd7h4a0peI8vL0P3SxEidcMG7/Dm848XbikxXb+JGEbB0D7Cb/sp7/0N4U4f67+dvGk7i/TeNBfn+1f7jTdCXOlDrZlK0b319t+Jt36FdXOibuo5q3xvVcvuuraBp4WSrmLY4yqYtbKudtvCtgNoitipqi62laVvUlqu08KIlbFuolrVtYVrqtoVvudEWsSVIW+SWJW1RWqq0xd7yhRZBtYC0hWlRaQvXQtMWocWnLdrLIPnpx49/ACfxFNU= \ No newline at end of file +###3748:XlxV32DM 3fea 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###2068:XlxV32DM 3ff5 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###3448:XlxV32DM 3fde d60eNqVWmtv2zgW/UepePkQVQ8MpLbDGo3trO2kzSchr+4upoMGabuYBfrjh5QlijaPaLEf5MtzD++LlxSdevXj12P9+vby4+nh28tbbUdFUfD66f9/qCl7mqwG1WVardPqaspehtTffv38gxVT9pwi0JR4Wl8v13d1kbYizlgRo6yoM7GWZ/T6jD5VrL+/v6WL1RDO6OmMnp/RizN6eUavzujLM3p9Rm8LKA/6t9dWUbguLAZwNoDTAM4HcDGAywFcDeDlAK4HcJuvinDXSTbhEipcC+EpbEhBQwo+pBBDCjmkUEOKckihhxSwJq45YE26bYWnsCEFDSn4kEIMKeSQQg0pyiGFHlJUUzFZs4I1T948ZfMsm2cl7dP+cwPWqJl8bJ7168PTnzULB/YgXTN6cI9W6ybwZhovH5tnqKgaqGohN1k4XDRawR+bZzBBNJGJJjJROT8i0MrGjxTOjnJKFRjWDtAt8NXKtY36Yne9nC2u6yvLIBc8uckkH9yjn0zKPbR98OLBPfpsefNQNFm7maJwj0ZyU4S2uLSCdLh01CY66ZRSV/bROrE8hynHU46n3LSqdI+qnKwX+8+b7Sc22dBkYyPa3O7V5OaCyb+/2s/L2afFnNvPOXPPbT1bza+X60W9udkvN+udPR9u5rv6w/Vm9qk263n9YbmeL9eGVRivt4srZ8nrbq4vZ4vVYr3/GoC3u0uzODJ9N5tB0wHemD6d41yvzNZ2ksPnm/W+nm0Xl/tFfbu+3S3m9c1yvTu4toWotzZ7a+rJA/v7m8XDYWTm9XJ+oN7MV/XdYruzFbDlbZDlur7c7ZZmXa8ub9owHLj4sl+s59aRs8QOhu+Wi89HRblbzJroWa927nb361k4JUy2myID9SGi+nI7+9jW+AjfXtlMbEgfbnfL+ZfHTl5frha2x28+1fNFk8DarOrd5nY7W3x1qB3ul6vFbn+5unl0gGuK/cftrZ1jy1U1z3rz4baJ/+37z++z73+9uh1gU2wW+xS7KCDKjubTxYzu5vMjZotZZnGENo4ogqyf0CIHFjm0yGOLHFkUFzN2arHFTrIRwLfwvkOmBDaltxlGqeIoVRclAI/cUBG76bBjN8QiNy10XAwiYJFQMYgDJofMMi5bh1kmQgmiHKICovIo+zLOvgRF7kCGQEIgR6BAoDwqiQYl0bAkGpZEw5JoWBINS6LjkmhUEo1KolFJNCqJRiXRqCQV6KfK9xNCCaIcogKiEqLqNC4CcRGMi2BcBOMiGBfBuCiKi4O9zwu0/zgDTDbEJMCMDzgOzgkOzwkOXgGcoE1wonB4onBwZHOBzj2L2lYzYfe1kG3zkCej7cAl2A5cgu3QgRRbNDHPIIsGWTQnFuNXBe9eFV97TBxY7BQ5PugFeJ20GJ0aMxHJAGMGGHMZhFgR90KHHa+bRU9zbaETz6BjBYMW4zegYGCFO/A4GXDrEPDWIeJbh0C3DgHuEkJAiyK2CFdUAYsKWowbSfg7R8iLX5uiRJ51vB077MSzjjZFC514rmLPFVqsCmzHDqTYool5Blk0yKI52hky2mYSlAZditFpIeN+l6jfJTjLJUOllixKuIWOSy3ji7ckUOoOZAgkBHIECgRKBCoElnHoJuYZFLpBoRsUukGhGxS6QaEbFLqxoYfrCN51ksN15HGSHCXJuyTDyfHZIQVaXIEWV6DFFWhxBVpc0S1uFJCJeQYFZFBABgVkUEAGBWROAopf/BK9+CXcyQqsokJ3Vo8SRDlExVGgKq6cQpVTqHIKVU6hyqmucmGe4ICXGuapYZ7a51kcoVFGGmWkUUYaZaS7jEI38btEoneJRO8SWaE9UHV7IHJjYp5BbgxyY5Cbk2xU/KJQBcimA4+OAxXfgRS6Ayk2ONnEPIMmnxxEKn7LKPSWUYQ8x+e8Que8IuQ5vpApjjxzsMEVuKUpeEtT8Umr0C1NSWBRQovxyaTQFUOBQ0gp9CpRZVzHElznFdjuSqMvYgr8HUNp9OVOga/3qkI2S/DFtoR/1CqLKJ8WOr7ilHHbl6jtS9/2HICQSgjkCBQIlAhUCCwRqBFYxambmGdQ6galbmDqBqVuUOoGpW5Q6galblDqBqVuTlKP908p46/LlZ17MQ8BfnF1DAgHsMm/1GRrPvxRTA+frP2k9pO3n6L9lO2nmurms3zfzSynD+6zLpq/tx9kFsgUyDyQRSDLQFaBXHbyy49f39x/5VIgcy+zYvoYyCwcUDjglR9M+9lTFsgUyD2dejoFdAroFNB5T+cBnQd0HtBFTxcBXQR0EdBlT5cBXQZ0GdBVT1cBXQV0FdDLnl4G9DKglwFd93Qd0HVA1wG96ulVQK8CejXlbLKjya54mhz+w/Jdc1z2I3cgP/vR/er2y9Xx0OhueFV5ifUi9SLvReE9XElr5MGPrkL53ts2fqrpbZvetultG/HoRbtnw4Hxgy+b7VU48Jr66t0lCwcUDng48H5qE84x4RwTzrEDYWu9FTat7W+bar1cL/f15X6/fe+k4r3DdtsDsttebz6/v77czz7Wm219dWW1793/CbZqK/3+3Zm6B6bu80yVk91/Hl5f6qITmO6Ewks9Rl7iXhJekl5SXiq9pL1Udc68OfLOyDujXuudkXdG3hl5Z+SdkXdG3pk3wr0z7p1x74z3PO+Me2fcO+PeGffOuHcmOkF2guqEshN0J1R2b+5eXx7+fHmbv/33fy9v71YPz8/B747sqP95keuzkWSWx2ZZbMpi8yy2yGLLLLbKYpdZbJ3Fbg7l0ewc05S18JRnO2vhieckSTnkLMsihyxzyCqHXOaQdQ65Gr0qhx/tjWUffs5GT+PZLJPO8uiUR+d5dJFHl3l0lUcv8+g6j15l9UDeKlFeD1Cm9bweoLweIJFVGMpi8yx2XiQyi62y2GUWW2exUSM+2a/SD2/1j++/ftZPf73W/34JbkBP49nwCpSgZ7Epi82z2CKLLbPYKotdZrF1Ftsu/fNIdvuT8tGLf/iheRad59FFHl3m0VUevcyj6zx687eEY7rfy25HsoSy/XUzexzkuGWllHaECZY0wVoTPGWCkiZoTBQ8aYKPiUIkTYgxUcikCTkmCpU0ocZEUSZNlGOi0EkTekwUVdJE5aMYbm+Wau++sZ5TnLefiRgp2f40pv0p2f40pv0p2f40pv0p2f40pv0p2f7UtT9LrBel1qtPY9gATxngIzpGpAz0O/g5xbEdM+xAphzIERGqlAE1okZlykA5IgKdMqBHRFClDPT7uhri1EVCl+ivmhUpZXImpZQ8pRQppUwpVUpZppQ6pawS1UulSanqUap6lDSbqh6JRLCpFknNkwmdSujKhE4ndNXwudh9m3I3MX6WxMax2CgWjWLxUSwxiiVHsdQoVjmKpUexUheNnjXGFI1aIBpna9QC0agFOryb/wGCceNo###3344:XlxV32DM 3ffc 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###4412:XlxV32DM 3fff 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###4040:XlxV32DM 3fff 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###3992:XlxV32DM 3fff f80eNqtm0mS27wOgC+TA4izaFeukioOUtW/eb3IMpW7P04gCdnojulepQOJICV9AInBWt22G//zU0pzY1bcf7EQ7n9/S2lBzm46iX5mCQsyXVPp1nYtieyWxxxJ7g8xy1mWn0nu9n2W8ySPW5IHjeR57sjuf/9669NabvGmbuefH+bUaV5x/6GUve37/T/m8zT5X13/DXmpP5QWNx3VPQvcEPAi8O3OMC6IcuEYglgE5xC4LIhbHRrZuODv9w/ryuwf1vP2b1mVV3sekG/e/pQl6xDv9SGcuH8wXfT99VLmcXK+77z/T2l2Y9uWH9bdgg8wUqUVqLOupGr4UKpM/J8uD1U05qdUk8b0NotGqUCjPUCjSZrs1p/pKC/Ojoc8youzdY70ccaF9OI+Egh1Uuvz7GKedK+T8jalYTCly99Mti9R/v3Q+9n0BHZdvK16xMmaJidBk78s3l4Xr6nFm2nxv9XOKoD5o6X3miHnt/SiiwEwgJOXa78Sd0m+b1uV5ydPj5PlutzPx/3p29x/JUKK3A95MyTfDcnBNQ+GZIshbbO8GJIrhmRmeTak4IshqVnejdj6faw1veq0pvIMNmT9oj1DWsrP/PrzH+kGXga2iYr8iYVX+aOFV/mjhVf5sHDBs4XrxL+9WDiTNn8yV/BgPk4mfrFs2Q0Y7Pae9CrCqrjcl6wqrxRrTE/WNLoHjfpfNIrtajIMNBpA3TzY6YVwOVuhEOyq0oJK+6DSX1VOJlEXl75YfpMF1yypuLIoxrVqEjZjuYe94lTkyXrqmMFzfYdloKm2kT5skauhMMvLDVlzVupAqQGliMX6zE1pWylrK3WbbNfKQroB+bPLZ37zV7Ykj65vORce0UbirnvQDGa7EO6Z0J0kNCwSaklCj0VCOUmopwkd+wNGVWFUBYlq/BrVpnKf3Xh9pYWEHTCyDaP6cso1i9ATfIxp9PBGz17eg6jXGnoc+ecTuC3yjld9tjZZUyq60gOUWlAqkG89NSgVs2+djGefNqL83T3JrKeYBVSfuU5Hgam2RTA9Babii2BKEszza9eJMFQUhoq95jG5g+/jgD7f6fNwzWP65BjTQJEAStwAFAegSEwfAFHkE31qTNaUqq6UgVIPShWmD2yoyCf67Fgppi+S9IVXPSb/3GMGEky5CGYkwdSLYGoKTCVe95gce0xDoqpWPWaArxqA2diZjXAtYmb1GNPw0h0vAXgFwEtjZgGjIp+YNWOyptR0pQqURlBqMLNgeUU+MevHSjGzJ8ls/FePOWLAZ6geJKqrx8+TRHX1+LmTqJoXfaglwfzk1DkisU8JPeAbHkDo2Qk94dqJCd3HmAbT3mHSANMBMO2YUICmyCdC7ZisKbVdqQGlJyjFGZET7ExYTGgcK50JdTb9P71ScVMPhB5T6qOSCUmIRIkmufNL3PmzHGWeJRMOcGjeP1Iy6FA7vyRCYk8+hHRfXQH6mnoOtPOr6PIpoPYnH/LyQfJa6wfxZ4sJimh8XLWLaY78ofLqSqCfMFaM8ArHl6HoV04hZqcgT+rjsMX9SzHKKbDF/Us5yikw8ZpTUJ5yCky97hQscgoKHL4EW0ySa3Aqzzk4df5sNirBRvOYKThVEEemP2ZvIs+hsHqgDErxQC7AfpdFValHwanyQ2lbKWR9LOsTutnTWAZgK4+DUyUoQF+OTeX9K2RdRlZxEtnFfUwJEtnFfUwFElnz+pEL51NUJCFe2Nk0hrh/Zg5cCdjZFECc/phZVGGMaTgFyHUE2NkUBxbDDLhlXWlAO5uKY7KmNHalFpQKUBpnwC2DYKPIx842rInjs5dKccXNJATcFWL/frjQE/VpHkmiu5hfUYpENy6ie5Do+nejhTL5M3Sl72bhEbqseXJ+CWwHjHLemOsbbvIGsOoAdywUBvgYYxprR2cN0i15nsragQGGIKHIJ4DPMVlTeoJSs49rWWnj1oU+5py5tWyf5ZDBzu8zZ6p9+v7Hldsc5tpWWVrgd65JUTzPpam5AlWCDUUe+tixSDqZ62bnGunlgnx2jPSwkTiHSP+0BDWDrqmKkfTdRws6g9M0owqSIKIRZzo1ptFkPcZdYxPRQ95MxHQTMUPXbCJ6G2MqzXrrNIdpnkqzRTRrlOd2Uc7yXiiyzM7yXiiq77Ktqc3dc+kR1qtR/t1FNcsnS3KzvNeCXGSzfKoF5ejmtidK/NXC4vftDJ9bEpmT52zRksicPF9MfWoyJ8+3d/cM/XA466YEhzNnyT3jn0zIMtgBtJg+/+/6pgp6KEFvGSCvOa6BsnH/7NhjmPWP0qSRs3zguEOaVUGSX6Mkf30pbaJmExBn1o/B6+B2DaoHFaZ2rdm+fbR9nMt3LofKKn1fc7WBx7B/ij09qjr1fIDa1T81IQi1keCLJfCdMZTDdxDs7ooOJDFSCjvh/vK2+tKzBM4QjYoiGh+xU1Hl3RF2Kqq806V2DR0B9UhTJqsdAROpG0pUuG3IR6Ii58cCEbf5QCUWXq4plgyDIEs3hq/5LxEo/2Xkmv+SivJfhr1bU5SaCteM+OcMOU41yF7igwqNVA+pBuHmVEMN7EWTF5ciFXIpoqcDA05cuqGwugwRmsuoWQFRxzSlGqUapB5K20ohL+96NV8qVAc/wEdJjVMN4qCQfTtBXkmNJKl6kdSDJHVfJNWQpKrXcmFyJ7k07+bCBETvIgIzB2wzAvZaceCUlhljGiqmZ6UgpSWg2iINbkoC9yeRy6wP2SZrSveuFEo4ArLucscNRT2jt6M0wrCUiNMIcqMAPd4FtGS+BJmsNW4NULmRgIZFQC0JqH0RUEcC6t/NcwmITQTkT+UGgMrulzYMqB1jGku2swTFFgGJ3NF+WgGFnOtoP62AujFZU+q6UmhdkhsodRhQAFE6DOgxVooB5RSg56tNGaiIwEguF4P9hwbUzuW+LXLpSS7ji1wGkstzdUOHuEEywJF3HOE4D72bgKMfYxo5I4sPGVIJJYBrEyc4aNzEWZ+tTdaUhq4Umt4k5HJlwDgCdjIgHIdBsQuOksAxbN/iL6WguNwXj55SklyuHj0jxeXOXuTyoLjcxbv+UvYClgBAZQcUglgpMaBxjGksxc4SpFUlpPBlxID2AlfEgB5jsqb06Eqhi01CrlYeuOLdQTwwoHysdAbURt6yP9e6QGDXyPehc/+FJM+1YT/t9J4kV63VzA9N1swVXTN/2lZfkmvP2+HhGGLdtyQ3vSpd5V8X6r1uvOTFTZkUC+FQlffMjtr7wdBD0d1ALN2BFh4X/c8hn4v+hxryWvQ/IMjxR9NVRFPZIPJpXaO53R9mXu8oaUHStMqnoEhrqm1o868G8vLTQF6TxSth1ryp1pQ3FXYxEXlS3lTod+uuZqP8q9gX933dU+TgzfT5EMhrOQfyoQfyGrxcHjPnBiHm1hrn8OVQWH241s2Hhx4L6daDkp92DuTNNpTWlZrtoWdAn897Bsx2YXYnmX2jPfPT2F4bEl6/CO9OwrtYeTWMhNe9m0U3nIQ3vBvt616EMQDWDocD+JFN/mOG0bAxpvEERZ3Q4x4Nv64w7HnTgGHocGD4mKwp5V2pB6WQUjf8edOA4ehwMMzJ4NOrdkTTANu+Ix81uga0Jdk9F9l15K8yFsMrI0h2j9eOsUaSPQKB7hFAp4lrs8CA0KJKqLZD3sB1HdxeKXQYXDHGNMZEZwwOoBp+RmHE82YBIzC4ckzWlELLvdNqXJtqSoGDBRn5vFmgyKdmAR2IZgG2HV91C9B1HfzDVblW49TkcVcu1jg1WSOQizVOo8hugUh3CzwWjfBp93LE0GTbwPHdbQO61yQDahsY4HtsLH7Im7GEbiyTLmQsaoxpXKvOtZ3mGW0DE9eoLBC4nuVP2gaMQm0DRo81tbnhVB76D0cMKlcEzmf5k7aBIh8/Ie0/fyryqW1AH0TbANvO7z/hjB+Df25lZH1DLvb6arK+IdWilU31jf8Dgd6IDQ==###3972:XlxV32DM 3fff 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###3924:XlxV32DM 3fff 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###3904:XlxV32DM 3fff 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###4064:XlxV32DM 3fff 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###3824:XlxV32DM 3fff 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###3888:XlxV32DM 3fff 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###4192:XlxV32DM 3fff 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###3592:XlxV32DM 3fff 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###4156:XlxV32DM 3fff 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###3992:XlxV32DM 3fff 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###4044:XlxV32DM 3fff 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###3632:XlxV32DM 3fff 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###3944:XlxV32DM 3fff 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###5032:XlxV32DM 3fff 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###6388:XlxV32DM 3fff 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###5600:XlxV32DM 3fff 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###4540:XlxV32DM 3fff 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###4416:XlxV32DM 3fff 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###4404:XlxV32DM 3fff 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###4592:XlxV32DM 3fff 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###4492:XlxV32DM 3fff 1174eNqFW0uWpSgQ3RJ/oXodvQAVGfasR3V67+0z4ircxFc5qKybhBD/CBBba61szZy/zn9MNufPntv2wZvd3Of3bo544VyP1tEvZq2f394cZkbvTLKf37YUf/22SeYx5aIPOe3X87UsM/q1pIuPYNYyow8lNxmveUbP/DH9nuv1dxvt/pHblf2ad822fHDa6jVfNXYRvYz0rA+m97ldfDR7MnD+rOUiP/VjrvljFX05c6i8WxG+jql+mX6Lx0UfjfCB+RdTRU5dP0bhj+mZf6YPtYq+ld6Vw+lz+/R5oi+qr6MI32up4cJmSz19zbub0fN8TM/6cGXd1b6X/P/994/3Jf8yv9Lvf2Kyv0r869+YzC/319+njL8O/9tbH/y66AQp2/VjmJaPyxEPa48ZhiIW48JlWHtkWdja3tDp9Jlv+CS+1uP1V1VEjDbMMBw1Zxu/4WbEkX/Mz/wSDkYc4djEcRm70qoYwuwzfVRD+iF5oxGHAf7J7557zOstph09FkOX09BeDO1DGCxt3cfS66HPpXyyeP4Am2zzM8/aOUwepqmXw/jHYTIcxqiDFHUYwlBQfhS0Sca1g0MUFfgVq4F5/TXW9YqArPMRDnm/6EN0ZYZvBzJuOj/zyxgGikYUyfzC4OV20JEeBgWe6GvAP/kVhwEWQ26dIde5IWMVzy/ZXZ6/qWBu0RRPGIIdZIhgXOpLBBTLGIxXUjSeRyQuRhyHMSLRbmpYwlDsRoY4yBDHbQhVbCZF51HRlRQNzOvBkMCsX16P5Wd6MeTeR3b+FtlBA+aOdMUyT+0cog7TbJdD2K4UKENg2CnDoSiDxg2p/kxVaUi1+nxWByhV6BnfCs5u+jyvxziqAyASGcNA6GmYXzgEMNPDoMCsDziMY4e55VGHUSyGOB5DrGYwRLsMEf2KmpejCtp2K7nbTzEWNtmbPnKXJs83K80PBGV8R8ofcNDmDoY6PXXpazT4ZQz+tuzcN+yMm87P8jA+mzdRfLV+hmHYRiUF8sARgH/yI4YEZn1XM2JeD47UhohsfWTv3yK7aCkC9rlL9avpHMrPUz1q3hJ9GpsO77/i7Fpf83xzZezmXfqK1cAw6AaH0Rods85HmPl9438zfjo/88sYBsp3Kmd5R8zywGH224HH+WBwnv/hVxwKWAxpO0Oml8ygNXE1bhu6a/WIN2zy2I0ytuYPOLshkg4S9CBBjToSBDW3Y2nKpObGvax3d8uKUfPDNhoS67N+mB6Osig/TI+ehR3tkWd8/if94fpAYHl5PaYXR3BdZojmW2ZY9Lk7Uwzz+M6hyjDNfjmUe2p+UYEO7d5dcfsMg2FPPcEpwLDPh8A8P3qAvQo94y61Tp/n9RijB0CkM362U2OmAr9wOHd32yP9s/0aDeypJ/DUEzzyaEAoFkOFzlD7PIWjpm6RFGXGmr82GUeNhyCM7wMT6kZ3ZYzXe1v/0BT5hqPx0/mZX8ao4aaONd7czaMYhmvys54oGpj1dddsxawPOMKSR0d4egJxBGAxZOwj9+s+fNdS9ezL+1KQznmsTrQewzzuUwyCX/xa2y5TmaAbNDlj2ky4ksvbeMxBYsXrmZKOw5dNDtcRwRLlTM9mv/bPH8ZfJt40mRkd9xprdfH7DEOF3gQ7m5/5/SLfdH3wX5U/yOdNWvrxYBY/G6/3hjftMwz+UxZ61h/P97b+mlP5hvec8ky+t/XYfkuOX7HL8ZrPald1FLFP2mRbsel8jJ8zYvEvhPSBkCZ+GSPEYB/oNzWfxuZEQpLnZ39hzPIwvlOUCWa2PuxbNBTfcMtj1wn+eL63+dH1cTyeuS32mP0d48Ds76g9wOxPTwpOeWYPpLzneZZXtzF55B/5Jj78bbN8Av6AOZ/g+YPWv/MFtj+KOZ4xPzDH61nABszx8Ozn4zrzd5QMYPZX6O/ZBo7+hpIEzP7wHCD1pWD5lAIjpSDsVAvWqxa4T1mRWHA1DY32aijXEF6M+MJxafQss7vESm5NTxnDFC+LlmPNbYwf30npGzZqC14/GZnPa7vBuGv82zdsaEcbzWjLSL5acyxDLClmfT0bgZRn8sDXDPnaYRbXzw9895m3r2ifefsKakXY++eBEUuWcomlXBIpVh/5R31hfWD4eqZckSnXZMpFwFg/US4DxnimXAB8mF33CSF/s+eu7RzTv8UH7LsZaW9ri3ZGz/O90ee61Jm9f8430uM939Mrjfrb7F7HXmukZ/mZnuOL/Y3nY3qej+3F+mB/5XH217dx2Jf5Y3+sfj/6Xoj9jflnesm1ucu1zrsh1/p05tr4eWUKXs0SBl0UikXGB9c9woZ0gfmfOjfmKsZ336zPb82P/Nyxqe+aWyjUh1zju457n4Y+M50MzTDWb3duG+dHrPoMfuc4qTzYCkJ+bF2XLPwzfg69vuP7ebOtUgsP2V9IKJ7y66ke4bZI7G/YShNmed/0m1Tec8t33QFw1g+59/QHiUW763uJJu/6vfThR9a7E4T3LPSLdXWG2R8Yw36FYhn6Z3swPtWquUdyKeNn6yy1kOU79xmynh7iMYa85//WGWZ/Zfzsg8ZabanWWap18JfnKGCsdc2MvUIz1CtQ383rOzP2Co72iYVqaaFainjt9g1t5k/3Ia1izgeotYX3DWbsNXgfbqjXMNRrwN8xf3qJd9SuRPmsUa8EnDX/7KRv6J/9l+m3tus+x4UZPfsn03P+YPq3+IZ/uVwv/10XV2b54Gir17cHy4zeRbn7kzQ/MT3zx/RS68qwr6gvte7uUzNkP/SempwHM96zJM+9hDzDXe1q3/BGvof1g74kD3hTRPh09VWP/doMP7dLxjOiVfF+v6MOYYa7vsV9w09tQqxRX6KxivNpPiN43sTN8ZG/Y/OC774+076I9rgxj7F4UK47KPYS7YsS7Yss7Yss74sy7Ysy9kXIpWMuZP0myoWJcmGlXFgpFz65Xp7nXM/+uHEuNJQLDeVC2ncB//BH3BaiM9ZDXxixv3B8MD3sW+gMD/bd1L+NDeuMvuq9zqC9KtPz+kzPOOj9yRaTn2H2Jx7ftfeIWwrjC7axF4X/eNzTtIud0Rsv+QGY6Xk9psd8iH/2V84vTP/DvkQftZda6UwM/sv+wPRsL/bXt/HHX3AGmuIsnn/ag+nRO4z5Avnjzf6gBz/+PnMb4+mNf9BLrVv71zLjq/Xkfp/Vbl2e1zAXHzaaKPLJedMPjN7Rz/FiVT68ByUcbLzsg7PlN2x1P8/4yCMWOT+3/uwqgo53xYLxHznDIyneqJZzy627mWOGDSJCX7wxhsYd7nZoRvMmbpIRJaMBPzv3cTzzuL61uMcV36fWNF5pHCdzGAd+7qqM4zuNb/pWBuPAzxWFtPbjwBhfzRL7ceD78lFeln4cGPrEBV9UFOAnAmweT9Jw7RGn8uP4xuN6q+0ev2/JobvH/V5095YyhIv9OPBzqj+OHzyub9DvcXqjbvWkGBXG3ifHuhvKSxwviwkO+sWB1wybs2k9Zn/F+sBBT5pwrRQVe8dlOFxuq37gf9HIRYV4Or4xHpYo3T7eWjE9x+ef6DmeXsc1Pt7GET/HJqcrVnejHE/8PNO/Pc/xtZoUZ/H29jzo38YRf+/Pi3+gYjxfpozxlrPeFatmmdEvRexRslzgZ/of9iP6ZOTkMURnZvHM6zH92/OI79dxfNnzMo74fhtHfL+NH38ap/iGPTnerdaXpYwd3pv9mR71aYtjx4f8wM8z/VKl0uOkmPPJD/sS/Zv/Ib+zfjjfrOpPyC+cb7bY8GYkzeiZP6aXTqG7Vu6an11UWXL0InfR0y/BUbHMU/t5lm/zFFNsP483ZX/mOfp5xg8W/DnP52w+B4lfIwpNUTq+cIbVDO9W3iTsWdZhbJQPYOGj9Xzs3+RJudRenqz4mmczXcdpxit80X3OVtYjq1/pF3voINO2xhlejeyg9jjHzeqbkly2GYb8m/LJGPrYBjlsr486s0vKUd9w6boxLmrvPMOdHeoMd3bp+OivRBr3zS67+sdtJ9WvzOP7efw3eYz651k3L/6s1VM2wuB/zbIOY8gDLHyEno/pjgR9x2qk3u76Bms1u5/hRb9g9JvomXGwSfnQL0gJt0X85dRXnmHI1UjORnK2Qc7PhbhFDxmrnV9qTnq3AN/ePmdWbZXzVXOtVPcjyV53pH97flMNgFOT17XnFBjj1qxbPw7M8933NBQzv88Zm2BUwGbub1Bjj/cqmi551DT4g6UOs20zel/lFnrGt6VEz/pj+qfDPab6fuMP+uHnWV7xhNR7fP5aKVTu5c5IgmWepZ9nWimeTLYOGWk3mikJQx72dGBkBGDh4/OuOAgf+xjA5WSjfNjwugGTxuH8m76Ok78zDlaOmHHlgp+vZym41LP4bYZziXrlWb4KesP46ocxjhjwJe0bxlcsjHNOZhwf5UEBBxY19l+uumPmFk3nLfcXyoKHr2C2zxFPVHPkb+awJkid+B/ZHPtA###4452:XlxV32DM 3fff 114ceNqFW0sW5CgOvBIYg6HmHHMAA2bZu1n167uPM6UgIZJ01yYrygKEFPqAXdYFc/85jLtevya6+PqxZnev38u68v6N+kt4t/su8s6txlcT8us3tN2vcPA+vYcZZ1d4t74J3t7yxc76huiOEbM+Mco6wDxfjMGM+J9//nIu5z/mj//7Lx/sn5L/8z8fzJ/tP//1vv0p7m9n3ebOEN/rGNe2t8IhiwJH1I0QhmIpuu0Jt45F0UqKArM8NgoMQ8U4G+qDfR715/W+9kfyzW9NLLeWBxFK3K+V/G0X0c/ux0pfHs/y4qhyO8qJo5zbJk/Z7eWps6ndDrMFsY/gpFjmqYPD6zRNfTvcuRMKXaoQIsHG/Vxhn2QjSZnMGA68I8k94Zu5+woPzN6nyCCHAw8ODkSo91+CkcgzdbMreTy/kssreUR2bHtcybP9WP6OeNE/zYQ4iBAYz/LiyGtwZFtHrkuuChP2MDGPUuBmvDCa5BnvVcadcCxjOC6Ko3h+GKIcYgjGMYkhb0O0J7yZbV9hODLHbXvCt/yxwiAKMNurZxZOwZGIqlgc1cbIDU+RW6KsCxw0w73nKeaeJ8g81a4dXpOUpqwG2zRVlWO7Vhgb8NHNBjRItaIIMMtjw8Aw2EYGA8bzovrhecdaE0/FrA8Ik+4cu5IH4XYiHOTZPqzP13iS/zUe+xNH2dtRhzrKkb/fnop3jk0SMqD2rp6ph3YbjJEzjfw7Y3gCGJpVM1sauFhvZ2qLhSJ5CvP1nNTXF898irIwhYv6Fbe2kndaZHPerpU8imxoogfLo8g6nY/loW/TcSzP9md7Ieeeye8reZ6f5duxZ62BP+w968vyv/SD/YVp25hazqfUcmpX2FONYpnHDYz18zT2zdjDnZcX7p9sMd3JYSUW7zamyAr7u3jU6tZYLYKqh2KzRbEgr/d7/dkjNvqymo8xihdi/wv3LmVmvOm5L5gRs3yPyEgRSbmvxpkhn65GIhKY7Y39sv0POk9AP/YPy/fzyuHDSp7ty/LCpP1mUlImHTOT4s2kze3u9LBInpkQKFYYb9QfeeO1PdEDWd3TCn9iZ64q7v7b6EngLbXa7j9RY/sw7RrxJ1eQJyg3ejMzxVN/u/X1hSnArC+Ycv2wB5gCfETxnPNzbu36JFRNZQLJw7Pt8H4lz/b/N3m2d36luReTDn+s7L3rwcxVec7yvD7Lt4oqrZlI7WnND/78izz7m/nL/uT5WF4ixY+5+3rK3U0j+HOgG2tAuOeJGnHxR7eBvu9qs8a3R+YjeM9demKKc7cBeTAWGB5MFDGpR4ww1lG34cx8Yrv1nCISeDghNTrxTX1jJcZB/37QaH4pD0YcxOhf8qwvGBpUnu0RlKGt+mslz+uzPPrOixjK3UjXn+TZ/+yPf5MXph0DY3czUc3djL07kPhhqJXUKPsr1aUV7jXKOLPCV5yx6BE/euzUroRbj/DRI6t/nN40eC/7YRybidIl7Uu8xZZe/jRVbjy+cPe3yv/A5w9czYxln+lz4Mvzga+9A9vfJ3xT34HmW82v3y1dWxPN6iOOtei/+9fPES/7+uX5GJ/pDVuO7+m+xm+xuNdv8KoP4TPlt+HKXcxW45Ma9sr1XOFyFwEJSJmPsUlWU3U1K1yslYCMsv1f+JZ3K2yirSNme1Yz4297NTPib3vkc8RChHMIPL9s8w/V67h7HrGnYKvryDx5IJRb3yB4dbht17RBq/8OhyV1GMsz/hhgdjjG70qQzYs8Yxjo1/isBAlZ5BlfShDMx7gTwMAhrC8cJpjtAYcDf+srDgXm9UAoYHFUGRwV1pEPQ3hzvUfaWiTl3ElzhWPMEmlVIoUxFN+JmYxf179vQ1id5z6YjYYJRBTo9yVP+Ga8ZAx1HGM4xqrjWR8YuutH+sBRoTtqng+OBoajMjkKGM93wniOEn+4mWhdnvbH8kKEOkb+9RT5XjNGzwRTBrkGQsVnQh3qsOqFQIc6iHFWAjmLyJtxJ5AhQhE2bHCNlG5wirwrXml0KDDP1wnRCSMOvwOijA7v2Fo9hQlBWJ9TM8dZJaBY/tDM4508/5In+7J8zyCHjv/Sdx7P8ozZXkKENhCBKol5MyF8asBBM+3mOkdNznhdY86HPGPs5KZ8esKX7hSetORJYNYHTACG55AKwCRgXg9MAMb4pEX8az5tKgwxpcur55OX/bM8mhqb5TnLs31ZHk2Vq3OkwT53ittl3Sus5Hl+ln8zpZoh9YTtKfWcui7wHsWOMo8dGFfWLxxBmL2JI+CgI17lCV8aCt0B6kA4PBGhPqEkhPo8n+cbCFGJcJkIl8em4t6UWemDpiRlzDvLwyFFHcLy/JztxZj1RVOF9b7tMc/P8uLIbXDkXIqutyP3Tw2Jpp3jRPcxrq5w9tlpE5BXGIqeqiiahmzaNm40qTyv/yVPGEXYq6EZgxi7GobXAxFS13eWB5GAeT8g4kmZ5yIic2Y6fsijiTjdTMQuT/tjeXG0GyM/PEV+7LVMM4FimWf/EKaYZ8Kc6jCnBLnN5Ff4UoL0yCPcma2ZorfpR5u6VBvbMaVCXZ/lGX9Kl0Qoj0dRj675FUZTcJ/GlxhNhQehCXeHRzH0tz4gcksre/TMp5jt0Y8zinm9Tsg4OtoPjt5+NBW4Scht9oQ3LT5i8lSNbbqZwHyMP/19O1fjUfRcam6F+81F1ZxKGJZtlEMxP4r2nptfYRT94tcYTYNTzzOGZ4q2d7/wLX+tMDwJzPYGE4C/7YnzkuBve+RzxMKUMKaWx5uMqinzc7PRPm1sPQbGuefzTFOHFFsu7Z+XGKkmWNkY477BiCaB5k9Vu1F1KOF+II0trsb3rrlJbWQMB5yxpSdsTcur+dFUlKy1lzCaEtRmxp1g5td6SgjFvN9+AFf8bU8lTLyW64GwwEKEOBKqrO6CzYdA0pMk+RjIG3mN8oVtcHLuM22Fjds1kPRLAsL4fBBv9hkP72XNCvc77zjcedf0eUPv57tge7zfq95tdFNGu96WKk6lPmFf87bCZ5KUuJk8peAtGn2TX7Vom2OFMf54OW3AfPkL/HM9xdYGYfTdtEhqCmHEYNxdVPXNtp6PFLM+nxO1YrIf6xtwuZrMtpJn+7A89D+i3DbzfpwJcgnvYx6fQ76mIONiyit5PD/0Jobliw0oVWYln6vMY0pdyqN73/XKkOXZHixflB/X3TbKW5DZX2w/lpdIGC7DW1i9hAItwl0y5SreyynOJLfCyXqpeDHVFa7RxxGLGnlQIz2pEVUNX70e7pJfYSxbSI1CapRJjeGz4BZX9bSoGknVAHYmDXW5DumFPlkL7/SyuTtfi3uLFpTLC0aPzBjhY/XapER5fqlbgZMX9jDu6SDmKDSXtLVpemF9GPN6PB7z90PQDyzva3/jEud0sit9eT3Gl41S8PRrQ8Znfk9/81ivrQi/XuJKWjrjCtso6eE40hpreonKhF/7AWZ/fMI1x5W/8dzSjfydCM8xPQOzPkMAFblYxhsDa8f0gfFf9id5Yfo1MJ0+dUtvpt+t4xkl4aHXz8pstGS/cItlW2FYJpnZckYTHwpniHZf4V0vFpM3S8z6fmFd/6SzCq9vjS0rjPHO2PiEvWKef+vMl/kY1yTM93EzK2xz0Le9EtmMUchOtfcvvGtkMEYhDT4tMZh5xpSe8J2ap1N1xP8AUaYD//LH2e8j13wB/mVvYNYHkQTs+jWYnQox9GP/sbxE0vBdeytPFdBpxULh9eY0K4yKd5m5AgKjAgK/1biGe+rWntTwKKy67O19s8So/6RGJTXqpIYd1LieCnFQNYDjWM+vbUhPx49C/OmL1T0IJw1Xxj1cI4Uv4RRn+qDhgQGBIX8RfYFBp0L0L0R/E8s+pSPFrM/nnb/qp+F51Dk8oR/61l4ISf7M4ZwL6SyP9BOikW+6SR6v1u9w28d0BXn2D8ujr7VIh2QvHs/ygRod9gduuXctfCzP87N8qSJfqXzBP3iOKzeW5/VYXpjuPlc41rj19fCV5TIrmjxd6kVtOX49DwZMmjHuKPh57C2NPAeGZ+6jAu6K3IjBPGBECvCu7353zXCsb/9cyMgJjeUxv9fEzett2tLdplrKo6X05bQr+ezrOaY+lmf9WJ4x20s8vQ85Lf24u8BZuVht7vorKIkZxuBkjNY/YT5b+ijNIq/HOLuZw4wxf8PXEi5fY464XvdU77N/KStccAZ35VhhzB8oR0J/fI3hNGa/MOnzhTVnbSZvK3xEL99LtTXe9XLRa85k3Jka0zW3oLM/gMEc/B9MRBrwL3sEqhnN0O0svpYgfXqkKt5x2+o2u9KnqX2LtkAsz/5heYmE4Ztva7anZiVpBEXv9Q2b1C7GaE6s7oMxmhVg0SOMejxeomTVA03SfRiLS6zrbqTHRnpskx7HqId/6pqK6tG7qJsRn3nikGHyj67p/3UmXmY=###4668:XlxV32DM 3fff 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###4420:XlxV32DM 3fff 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###4288:XlxV32DM 3fff 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###4320:XlxV32DM 3fff 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###4704:XlxV32DM 3fff 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###4796:XlxV32DM 3fff 12a4eNp9Wku2HCkO3RL/EO519AIggGHNelTHe29eCGVcZJye+Nwn0F9CEGmK7TTmP6LUzfwXzMg/2Pt0/+DLdP+DI13pB8fG66u57AlX0+uzf5z3h8zrC/F6jW/bH/mWYjlh0c/4OBi3jriZ7h55JhLrY/LP/7ZGx/TRfujOhPqD/eLXRrSs73j4OQoD5RUfwmOvGWawwIbyhS7yhX4vfyR/Zdw//eW+0Q1d4RQPoTdK6Zu/ZzzzyV+yv1DM7N/78cdlHnF/2BNje/hfKz/0+j/yQ63//fsfH+P1y/zy//4Tk/0VjfvP/2Iyv9x//hvj+OXdv977Yij6hz95ZuzDYw8ZP074thwvT8GdsPATzHoQ6uE3PdzUw716WBM88g1mlyNY1gtmOXnKiSInbHKK/9dbb3wpxJFrzXNkc7vZs96esMucWbQk6f0uc2aTeMS4x5Nl+HpaL5oPc16vsVTSVXm/xsGaJ+IiX+v7yUwj9F1fqTzBmh+RGYjZ0+WNaLjvU0SlIg25iHr5ZZ/Gsl4wy6mYOfGbnLQq42M/ccZqLOsFs5z7lWPjqVA+DYZ8xwY8K/w+YRHbza6GYOEnmNVoaG46mTtMiz/7gnm8akpuYTWGccKyXjDL6WDu9c3ctsy9Vn8JFK4TFrGFdjUEixqCWY2B5l4ncyU7KwWL2ds/0d6xrBf8yJlcX3PzydzP8bLMnc2W1TXcjjUWsU6pJRiOM/uqYdFcOpkbl5skmcWNkqway/otmZObcsIyt25iaIqh11yJ7oicvBJNjTO1Z73NoZywqFVNUKcl8/dRpg12n8Z18Sv5jIX/rdzwymM3CNbrhX5vbvIYjvytt2QTGhbxzMb7hGW9YJYTIBxtE3NNMZcvVY6IzIecmP83DMV1Y7b51ROm858jrNgYTvhPeTsWs4YycygzBWt94lvs4IaI7i7felun0JHvHAqOWNYLZjkJ5dRztfM+v/TzkYeryaecsMiNxMOrxsJPMOsBw1c/aSEHqwwCc/aJPDBw0mvsiEfKGs9YsmBsPQdGr+MA+A6uvKvGVrbSVFhyodF+3AoWfoJZi/xqsZ9qYWoRXi368oWcpnlJ1RgKz3zD3uxDkVdDkcfxMZW3UF09Far0tWz4VuRWH5uRGid8r8J1jW8JGn/6lEnbLUT4t3XszNHnOuGSOeKZ+Nal+UmBCNbrP/IWZjdUcMPeFtN0Q3rdUJeaiZhtydxfNBax+nioysxBsZ+w7G9GzPwbP+lH+/rPcWTQzBvMbKdTUpIkm8RtYN3ZIyV7wm7d+YLn6PyB15Bwrzvg33AxcbtTfuSvUgxmZY/GK/rtE+0dSzYI/lMeNxDB7Kb2usnvV7c43RR9uTNHa149t9moUoro/ZmEjxv0+r/tv2YenfjJU4JgvV7MEMxmdDAjfqttO2dbHPmS4RcCjUMbFx8ibJbGn+gaVvOTtMot2gxZL2YKlqIyOY1v/ER/vZ7dABO3Te18CH9eSrYeE+jyJyxyBT9yLpi4Xb1PTWR8el1lv9mR2H+1nbDci3O96YTHFZ64ZNP7CTuKfHhfXLUaB8s9O6+XHY077ZjtxJHefvVnU/40f8GyXjDLcXDfruP4gjIt+VnfqfJwksvzMnRRjScs6wWzHI9yjsOT7DNrnyPmm3JtJyxyi7ntCQs/waxHgPzp49R2pJtVKk887szdcOJ4xOvdpPkSTtit0aGOEk9YXqAiFTrhRPzSKZjtiOhPf/Kn5NVYQ7hZs4OxPZ2w5OW8FIwTJkoGMeuRUI/0TQ9L/cbD12SWq7HIjUqPqPSImx4X6mFPefzqz31B+HZT0wnLesEsh1BOOOWPxMvOCnz4Zh4OZl6bE06VT+lmbvcNO+KXXI0/cTIqbkbFzaC/YHD2mU52SP3cVB5/pUiV47j0Vrh6niby0lvjXBmbpbfG85L58LssvwBpLHl0GX5HZTvgvTC64zve219bQ//ci4/Gsv7e5OB7oQunq8a7z3N+rjhXMuUvmL9kmLb6145Fr0G7noLf+kY9b8zP61sduJnZyHfGoZ2wyBHMchrmT/5W92n53Yi+uccTFrnX6hMai96CWY+O9sZv9gZlb1L9Jan+krb+gi+K7mt/saZm5BtmxE/41au+fZ0M2kMnv5ZVl2SyxXOx5RxPWM7FbnI7YeEnmPXY5o/xTY9qeNCgVm6us0wnLHJv2vUQXD79BvXA+aQcb1OyLy89gk08P4Z8nfCcAfhxhW7/DRdjH75/w3XN4xqHNf+li68dGtOq87ZuZxr39SY4YjhiOV/IwCMY+fcaEsrxjeHjX8Nzjbu4/abVbzQmS+uLJD9aaVwH9++2+oLGcfVvWl+Eny9MP3jNPXC+pNP6Tx0arnetv+bHfoA30VDzt3MtyTnv2W45bzWWPE1qzkxqztR0UvOwxiJfr2c7tvnu+B2sfPjkTe503H3CIlcwy8H57Tbf5vLLcH1ctPIkVnfCItet+5fGordg1uN64xZtP+Wv6BGW35opPK95lquxyJ39OHzDbfHT/O3qoyHzfKOx7DfrnqBx+dxndrrIE3rD+ZII8veOp/ut5OdlytZXG+35ZIzSwyg9SOlBez1o/rK/W+7rhfh80evFj9OWdlrPdma08zhHv+cSy7U8Ns3uU/sJf841ZVdXdluF/1iv/GiVHwWzHQXtsN/skHvH3M+/rLArrxR+67YUlCv73/N711OvF6zXv3755F34mTqsvMx+us0kBCQ0ICQkjJfwfCMUQvFAQFbFAeFCQnwJTw/8EAgIhIQKhBsJ+SWUjgRQt6LlpQMB1X0vlL//aRYJCQgeCWBHQ19V0Gpe84Hib6S4X3ZSnj+Y1Y6z/8mnC3+ZsL5mrvfaOaGnI17vtWNN4nPSWCesfUrItsiZR/aZUHrlF7JCNvM78vpN00xR7oTrA5G1njHf4AR7b/g5fe3X6zU9mIWjdSc813EFXFY+KfH3SHIXT5qOuIM5c8JansZ18S+V+TuTC/LPlIl/wWLXAzC/DL7yu+V1jk5Y+5PmCbRNALGsX+DUwicZv9B+PtbHIV+heYJU+wtVjy9jhvy6afKntxH5pyPykev9qQffiORFa96sK8rvK64u8wdDt74bGLKN+SV+IZ03Ir6ZpbrybE06hj/rNubfDf8CaJ78kfezn8K6KaQl/6bKv0DyxCd9Zvt+EvbkLzjZ+eu/8tf7or38qfwl/Pu6Aeh6ETz15R9zKH/e1i/565dXyp/ykXDe7N3Jn/JCH9YLjfbnbW3luCX59QT/hG79RlDkB+IPE9rf72dljof2t/gvrxcP3Q+mnetksWti3/uBXy/L3lg69YP6+Q2lTad+UFe+CV3XeyC74m3jqV6FLvt1vc4JOSNd16PIF/7aH+Zzw2Ss/Tk+n+nNMR5Sj4J1PKWeBOt8kA9PgnU+yfc8wTofRb7gP/J56S9Y14PU61sfez19vuws/Ec9fiYnxrqehb9g6W/FMNb9IJFfXzTkxr3Xw++fA9TjAfrzB5wmfMWzFs9nD0OOdThoBIOUgnsGUhrusUgZSqUEE4NPyARnogt1fX7wIISOhIq8NkpDCppXYPzwl0OKQwoaUS6keKSASwh9VUA1QiltIC8YpWy3SIlISUi5kAKuofASnEUHXAUpmwIwmTkXkFKRAg4gQgIkC6GUiKHBoLm4aQahcWkLwEAK5DHdSADPEEohDBlZpID9GUI2Z2dI4oZWFkzvhi4rAynogLsiBc28N25oTcPRuKE5HWf5jloPHM27QwoWfwcXeIu3jx6Rgj7oASmodYe89R7bQi9IwatJz0hBv3XwgZ/F/mkY9vkDsLfYMHy6kQLu8hc4ZR6bSEl7P/L5VvJyx71Q7B5bh8XW4UtECrptNohd3ozxLm/erdQfPDKDavdtE4MxaOikC3I3mLCzD4ZwKYQrOPCnN3gj9tg0DV6JPdasGXiLBj96C36c9xikOKTg4WAhMcO17clIQQ0smn5FpEA/C3nbg1pn7IG2IwU7ioNyCnht9g61rti4XUBKRgoEOWB78A4tvdVZGrD7u4ph6VitFc3oWHkVTI8GO9btkILcbjA9WuxYNwQ5OpRzQ65Gjx3rjkjB94cbQhnjptuNlE03CHLcXmVu8AE/YH36bEDK1rXR0u2ZpaF3yta10dKiai/emMU4J8Ubcygg+xtzKKCLO2ZxQMF944Yu7pjFAfJu3ruRUpCyaZCRglkcKr6IbXs6UggpDSk4MwR8Xwu4J+I7WihIsUjBOor4KJfuvY5SwpEogrsSYXYNOEhSxiweKDjjm9hAZbdpYaCcunELSMGaGBDktL29DQwLnhNuEFJwuB8YsLHpBs6/zKZbRwoG2YAPLofN31xIQV8bsPTaDxnwzoUvst5kpNBeYVfaejEYeCXMIXcjBXPIQUZehAZ6g5SNG7oLW4j36JSCezyajtcI7z1SMIs9OuXe9hBS8Bz36Pwbne/RkX3bg97B0dPjHfLC0dPjHZJM2cNCZjMD3EVb0ccLKVtV4lM4niA+ghm0F/2NlI0bvp9HbKMRzdj6QoLw07VpgPZcmIAJwk/YMXwKSNnuxB4pmIAJvxPUbQ96p+LYk9CjFRMwod+2lEnb14WuQjlAMHYqGqDrQLEDzhzsetlBxWMzyg5CMgoSwLYBoco4bVpsRRmnTWsMUnDQxlaUL7xZGELKJgeszIR3DoNKY3Vb05GyyUF78KiwFrW+UWucq3NXd42Msx6ejRkrNyck+D3aeUA6Z3BRsarzFgtWZvwMZcFIPFyLBV9mCH9xZjekhKSEhev9w/8BABSVug==###4124:XlxV32DM 3fff 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###4308:XlxV32DM 39d4 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 \ No newline at end of file diff --git a/main_map.map b/main_map.map index 12a71c0..029c87f 100644 --- a/main_map.map +++ b/main_map.map @@ -9,7 +9,7 @@ Target Device : xc3s250e Target Package : cp132 Target Speed : -5 Mapper Version : spartan3e -- $Revision: 1.55 $ -Mapped Date : Thu Feb 21 20:21:08 2013 +Mapped Date : Fri Feb 22 15:42:09 2013 Mapping design into LUTs... Running directed packing... @@ -24,30 +24,30 @@ Design Summary: Number of errors: 0 Number of warnings: 0 Logic Utilization: - Total Number Slice Registers: 47 out of 4,896 1% - Number used as Flip Flops: 45 - Number used as Latches: 2 - Number of 4 input LUTs: 58 out of 4,896 1% + Total Number Slice Registers: 146 out of 4,896 2% + Number used as Flip Flops: 145 + Number used as Latches: 1 + Number of 4 input LUTs: 508 out of 4,896 10% Logic Distribution: - Number of occupied Slices: 52 out of 2,448 2% - Number of Slices containing only related logic: 52 out of 52 100% - Number of Slices containing unrelated logic: 0 out of 52 0% + Number of occupied Slices: 318 out of 2,448 12% + Number of Slices containing only related logic: 318 out of 318 100% + Number of Slices containing unrelated logic: 0 out of 318 0% *See NOTES below for an explanation of the effects of unrelated logic. - Total Number of 4 input LUTs: 92 out of 4,896 1% - Number used as logic: 58 - Number used as a route-thru: 34 + Total Number of 4 input LUTs: 584 out of 4,896 11% + Number used as logic: 508 + Number used as a route-thru: 76 The Slice Logic Distribution report is not meaningful if the design is over-mapped for a non-slice resource or if Placement fails. - Number of bonded IOBs: 19 out of 92 20% - Number of BUFGMUXs: 1 out of 24 4% + Number of bonded IOBs: 20 out of 92 21% + Number of BUFGMUXs: 2 out of 24 8% -Average Fanout of Non-Clock Nets: 2.67 +Average Fanout of Non-Clock Nets: 2.78 -Peak Memory Usage: 209 MB -Total REAL time to MAP completion: 1 secs -Total CPU time to MAP completion: 1 secs +Peak Memory Usage: 212 MB +Total REAL time to MAP completion: 2 secs +Total CPU time to MAP completion: 2 secs NOTES: diff --git a/main_map.mrp b/main_map.mrp index 9b7b158..f06c856 100644 --- a/main_map.mrp +++ b/main_map.mrp @@ -9,37 +9,37 @@ Target Device : xc3s250e Target Package : cp132 Target Speed : -5 Mapper Version : spartan3e -- $Revision: 1.55 $ -Mapped Date : Thu Feb 21 20:21:08 2013 +Mapped Date : Fri Feb 22 15:42:09 2013 Design Summary -------------- Number of errors: 0 Number of warnings: 0 Logic Utilization: - Total Number Slice Registers: 47 out of 4,896 1% - Number used as Flip Flops: 45 - Number used as Latches: 2 - Number of 4 input LUTs: 58 out of 4,896 1% + Total Number Slice Registers: 146 out of 4,896 2% + Number used as Flip Flops: 145 + Number used as Latches: 1 + Number of 4 input LUTs: 508 out of 4,896 10% Logic Distribution: - Number of occupied Slices: 52 out of 2,448 2% - Number of Slices containing only related logic: 52 out of 52 100% - Number of Slices containing unrelated logic: 0 out of 52 0% + Number of occupied Slices: 318 out of 2,448 12% + Number of Slices containing only related logic: 318 out of 318 100% + Number of Slices containing unrelated logic: 0 out of 318 0% *See NOTES below for an explanation of the effects of unrelated logic. - Total Number of 4 input LUTs: 92 out of 4,896 1% - Number used as logic: 58 - Number used as a route-thru: 34 + Total Number of 4 input LUTs: 584 out of 4,896 11% + Number used as logic: 508 + Number used as a route-thru: 76 The Slice Logic Distribution report is not meaningful if the design is over-mapped for a non-slice resource or if Placement fails. - Number of bonded IOBs: 19 out of 92 20% - Number of BUFGMUXs: 1 out of 24 4% + Number of bonded IOBs: 20 out of 92 21% + Number of BUFGMUXs: 2 out of 24 8% -Average Fanout of Non-Clock Nets: 2.67 +Average Fanout of Non-Clock Nets: 2.78 -Peak Memory Usage: 209 MB -Total REAL time to MAP completion: 1 secs -Total CPU time to MAP completion: 1 secs +Peak Memory Usage: 212 MB +Total REAL time to MAP completion: 2 secs +Total CPU time to MAP completion: 2 secs NOTES: @@ -87,7 +87,7 @@ INFO:MapLib:562 - No environment variables are currently set. Section 4 - Removed Logic Summary --------------------------------- - 2 block(s) optimized away + 4 block(s) optimized away Section 5 - Removed Logic ------------------------- @@ -96,6 +96,8 @@ Optimized Block(s): TYPE BLOCK GND XST_GND VCC XST_VCC +MUXCY Maccum_cx_cy<0> +MUXCY Maccum_cy_cy<0> To enable printing of redundant blocks removed and signals merged, set the detailed map report option and rerun map. @@ -117,6 +119,7 @@ Section 6 - IOB Properties | LED<5> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | | LED<6> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | | LED<7> | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | +| POUT | IOB | OUTPUT | LVCMOS25 | | 12 | SLOW | | | 0 / 0 | | RGB<0> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | | | 0 / 0 | | RGB<1> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | | | 0 / 0 | | RGB<2> | IOB | OUTPUT | LVCMOS33 | | 8 | FAST | | | 0 / 0 | diff --git a/main_map.ncd b/main_map.ncd index 384e5e8..63c76ca 100644 --- a/main_map.ncd +++ b/main_map.ncd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6 -###4436:XlxV32DM 3fdc 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###4512:XlxV32DM 3fff 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###4412:XlxV32DM 3fff 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###5232:XlxV32DM 3fff 1458eNq1W82O3KoSfpnzAAZsMN2aVxkJbCxlc84iuqso736h/t3tRNMtzSZ0PkNR1B9VwEy3+w+33KbRRGzSaP6b82h+//Rr6z/8rw+fw23O90+f44CzU9jN98+5DtRXgy4d3YDEYdDY0R367gZNHW1A1zM64XTFD3huCo/plgnQaNA+3eIALQbt0y1IwRAe0y3h/vt3dXnwtt78bf31j5vKbV7vP+YKkpg3bHZsGjTLhI0DKXVhYFsG9Xv165DekOf06591vh3l/u9abrnd/1mXm2/7HSbxtct5RnH/Rfid3Np/BCXXgNyeiFwlcscDOdEeLm+sujNmtJeiwqq9mA0q2kvBoKK91fYV7a0s+s640V6aFFbtxc2gpL2ukTZo9EXdyhsa+bF4bMKlflyrV/qpBwp0d6/px7UHdZN+MpHb/qCfP/gaLr1LZLBptDUbWLTljtmgrC3XdoOytpBPRllbrq2MppO2ssKirVQMyK7mWjQou5oYHaDqasDatyl2v1RsIU9pryq2XSqWyG3Hq4oVrewnxTaF1Q05UgEqbhhsX1XsYVBR7DEx2qxiw6KwumFwBtUgGg0qQTRkg4pmU/pGxbrtUrEUAvf6omIBflbs9hCgv6jYxH7VubR69QqrXkWDAxWHdcWgoldnCKteHTts58bolXfdAateeX8FVPQq2h4o6zUZusZhIcJ8l16B+LNeK+k1vqjXY7nUK5Hb2osOK8G1/7hy2A4bh40GZcVKFB2g6PWwXdVfWdt9FVavu8LGXxeDPut1oM/+OlBVLNhc7orN7yv2wlPLZe6zk0anV0PwMJz5SaMreWr+u0ZVlepgxapSRNPhi9g7UIm96mDFxt7ZoLqpSpANl7ps4TL2BqtLQ4J1OZLB+A1aQ6rPWqMUpsVXM9Z6qbWZyB1f1BqyBclhtFpbqsKqtaUZVMuOzaCiNc2Io01cuRhZq9VajAqr1lI2qGgteoOK1lzN3+FrQPVZa5Sf7MuLvlbLpdaWV32tslP1HxdaG/Cz1gCV/bDavk9aA1R8rW6MlpPWvMKm3IgGFa1JmK7Faq0M8e+99NpVa4G09t4O+MNNlzthbwOlsJeZzja9WZuUh/i54wYbaEfk2qT1ha24Bhj5X/OW3WEcl7lwJZfew2tba2Cddf7Cev8MUMw01nrbriuf7bLy2S4rn+2y8rGJVHHKRPPDcAJsFYNEQLhz8TGWbg8hxDRN/uwOZ1CpjI7VoFIZtWxQ2o9/+pKVmy72++f4Z0T8guWlv8UnK7wOE2RKvpTzuQHq3oHGQvm75vu89bgKB7W9GMRd5aja+RFd46oIVeH72hQewh9c9HZ8IX0PRP2ZnR9Q8ecpGFT9GfjsKddtVkm6A5gmgYp7sjvSkAcZrlQpbPWL/vNz4d3cYSrpDusFHVQvcLOi1iwNBWsfkLZ/5ezqi/lbPi+YMnIujcrf448GnrRdWU8+XtxMtCg57SWSHLl8WVtlWzNng+pewoEibafq+FDYHDF6g2qGVg1q9vr9ZSujIY9Wtr9tZXW/sLIOqpWxV44fj8GP4HMUOr6vDETiz4kM54svbnq+7Fd7VaZNb3+xvEfuICYdxgbdtiis29IWDCrb0jYbVI5Py25QyUKLhMDdWKbbnMK672zeoLLv1MOgsu9sk0G1Dqzr6/a6Xtpred9e1yt7Xa29rooae9Vccz3ba53ABtxt+ZK9sk3KwAdbXNuD8dQvGk9lodfJGs+xKWxymt2gYjxHMageIlSDkvH03SB/52lNuDyt4QJhffUUrl6mlOubp3ByyhGuD2vC5WGNvaKSMH/YK6pgKegpnOxAp1pxTgqb/aMYVE9XD4PKKZwlIE7qwfzfMuf10pyPt+6ifnp2Q08eS1KenMIq5WkyqJrzYVA152ZQMWfMinveeKtfK8H+bs/7edup6SrXyWzP4Yu5ji/1nGhvQMdjos2Zzv7nRHu73K48RZz5xVNLzberzbcl4CRMrEt9SqztNWA1/dVDNLG214BcugyaEJszwMJIPaVYGhFtijV5g4qLqLlt5kCzVoMaH6nfmKLUyzvD7MhW5hdTlMejG9L59J7OjUROd4bqgNW6pTeoqJZ3UkBFterY5s7QV9mRsk1R1IezLY13g2ppvBlUUhSNDdlqdj3ejH7rdWJJFwItvRj95A3DepyqkaawqUayQbUaWQyqe4wzqEQ/vFl9Y9088GHdhc3rtYtQe8N7OoeVI7Zmz2Gj6azrltO/Zs5h9fSvRZvElDeXfVweiNb43mYnt93HqfbkdwwDNqveDPr0bAJQWXWKBuVV/6RycsTrj/57HW6yYM/PJd4/+m/AfMaA3fmZexzv/wOYDs8+Wx/eaDidNH32cvuj/0ZsJWzt2EpYIix1LBFGU7c+daOp6Vrjsy0dWwgjbnoI/GjMSyAsdCwgFmmO2OeINEckerHTi0QvEr3Y6UWiF4le7PQi0/OE+Y55whxhrmOOsImwqWMTifUgsR5drAdhY95tVBLdBdv9Y6Tdox2WC63HtvdPO5CCxmHjsQnYzNgs2KTR8MOOiDOELtVR0mEbqF2oTdj2AWhzUBK5QkMrDa00tNLQSkMrMo/tRm2DduwI2Hps++KgjfT/mLFN1K+bKrSe+gVHLfWbadyM84X9lrY+XcHh44gV2nHANdpxBAHtegv6VoxkMtNE3I404mM8Peu+9NHz4r6d4P2dvhxLOHJ8GSO4HcUhjmw40t3hspUp4MZU5TrhoLl6HX4uleETEBufoOL0s36aPakiSRBffwEyk7C4BfrjdHqwMjjyxJEnjtwdd5UmmwRRgjUFbYEdoDQRCUckOqm04oyD0i6nBgEp4RorkSrHzRwhcBdY6+jiqAts1p4D1liZJ7NLsskNr+nITAYJDHWDHGob7RLQYGYyHJVFoBUEUmzFFezQjPXAQvTlS8WJYOVjgp0mcEgYRZRVREApof2s3IxevVgcpgHk9dgmIHmVEynenOFwF5GTpy4gbc+HFEMantwySWk5HHiwTQ4LY/uHmRxJ7H1h9tHuof8QD/HvkP9dClmii2Kh/hv6y6DLrXgFKGjIn4wQCGduyCoWXu2iAoE4WERmYhULC2Qm//FFP6EgEguisB4TGUgiQRQSRCED6TEEVtJb9I6GDLdCuJOWVIrGmvn+Zsyw0wwBRGffka7YA0jPhYRUjJAmUkIlIVUUEjYUJrhY7z9ISDPLbzWfWDiuyTXXiHkjSEcK0pGCdKQgHSlIR1wh5R7y9ucgaXWAP2X9BItxvF45QBo/iM3pdj5Fgk/I5oRByAVDEHQ42AQdOhm0ERdVuNj1E3JRhYuN9NJ/KBdjeK8tKP3fTRfhZqMuoN1g5/YkNOSKw3lHkKuDufJOPyFXx83WLAE/EVcrxoZaSZVQlHAX5AqDFHSBTSHsZm5PKgSuPFfMEy3WB+Fq0U+4cwW2UCjcAn5SrkgQVMU500W4InGSBg8ztyeDQq749m2i8OOTcJX1E3KVhCvPU3KwKhkdaEypBwTcBTdO8u/CIWulSA65R6TcI1LuESn3iJR7REq8poC7H/3I9KNHNfpR6MfaeCHweCTiPPgtcu/I4/tU9CPy+Hiz7xVo/Ey5Eq7a8S4bMTpUDAtQHWlZQ0NBhgvnJA73Hxi60dCNhoLOItvLmNWTdJJUW4NoJJ1F1oKrwg9EyJopesWbKcloKEwfWTuuCj8wpGbaHSLtepGNdMzqSUtJHorPvwCZKXckfjCXG+810CqgOFqwK86feX5M3kZX2NcKT8sGOoh7Mook5deglcihEruIDzItbAiFVg9FGI2A2RN7jA8yO6QKlFs6eQOfyHGGKSYp3YBWpNkjz5500RVpNXlbEnEEzh55dkppW1EdONIBxCb5ewdPthAo1XQLH+wER18yf+HDnUCCmz1/4XO+mTQ5cvbEtzBjTd04xw7fg9kxY9LuDkzasV1vcEHjEseV4QgerQjnWPkcwxHHXb/0he3aYdo8ZE9f2MJ8oC+UK8hNeK98RyE1/k/XPvB0owu1YcHVsODq5Sw0GZuKo4qTURNabMPCrCHZlqgf2pG5axq1NXyqK5HgiNp3oWUFSS14hirHZLhVYyIQTreHdZasmiJMpYxy/MBRhbK2km/mlcvyC7iDqrRhHjg+wBBIgzZKg7y8rAg4ZKZiDIkmFgTU+5G60Nox6QSrxcqzeKLeiHqQBzY8tNFQTssor1fGSP54mCt/LbTSBj1iNe4serDWcGddRUj8NybgWA0djPZueA824yecc7gSRXHoWzN6of7hQuE4QxdxerRVaB1RPpln9SiVnWfm56SJUkwn9PjN9viCxScO4YcMSZNRGJC0Pyxh5Jbh4eUwxKbMM9jXw8DWMAVkyz5GhU+uiITNO0iUcORR9rEdrKZm+WSe8qGE6Z719GCJt+WS2YMkDQTn83d5T8D3E8PqS5JDAUoVMp0K1E27oM/gNgkzkAYensOAgHCp9lp9porl6e5hYo+jgud0s6WfzvcNJaN5Vcl37ak5p4Qw1+9/M/0VG/0x0P+6IXe8w0HgWg08K7wZeFG4GTgqfBg4CbxNBl4VdgbOCnsDF4WDgavCs4E3hRcD7wpHAzeFk4EPhVeFy6SwkSA93AO4GFjlvRnBFpX3ZgRbVN7bbuBx7rz/Gv+f4P8Rjpng2sByNgTtKuCrZW2V7qvlIUPFOeBgeYAXegAXowC8T0TYqKtsAgdd9tK97+aEYdj/HXSa1QLgVss9zg8bP6FqRHDmTKha3FIUVXEtm0zugMWh9Yy9rFSawsYYCsZvupoQuE4CZ2Pb1eny1f7W/bR82Ejc00xm+YYts3xri4pahZ+mAV+gTkbNdQh/Bzgam6oBDskANvYK2z+t07h2XRS2RKIs32vv3Z/42s1C1XJ2s1B16N0sVKW/nxe6m4Wqd++bosZu4T4dfSIagUJkJdgEjpp1ncYnqvpEtqJVn/CqFvxLLuTEFwM3hZWI85PCu4Hjac2uJ6Dn/6867DDDVIDeLmBTbRvvxTd+GLGtzNQ1FmsEh0rBmMw2KayG7BbVkrewqslHAx/n5UUjFdWPi0a0ycAaCLyJ93DQTIHPWC0dLgNsvImOW+E9slk1HbHC8owB0VkkwMapN/UIp/J3fYs5LS9rSPAqeCwG3CMLrmj89M7A6lh+MnBSIsYyerg/hcUtKafGpuGMkGArHOMYVsJFYeNGmzqGM0p+8GNnXNbM5VqXwv8BVjkGeA==###1968:XlxV32DM 1714 798eNrNV7uO5DYQ/Bl/gPgmNcnBTi/wfQIfUnjABo4G/ncXNWtWabCX3wILtWooqbq7utnczfNnrrtpj39y2e3j35/msPu2f8JF4Ei4Cpx2A3jeb9d95rKxlpXeAbsXHAQehK3AB2B/wcUJfBKW1WPjS7YFW5NvzKypZGZl2UGYzKzdCDuBPWEvcLh/y0qwkjA1i+kpqF1oF9TRWSOwJ7wJHNY7mDMb4p1WYHK6rOoLPQVlXJhHGymOQ1B3/06UKCn3uEhmQdNCRRkjLz+zxqoQFgKZLkRBx0KzoOedbGGmqWtbzEKToGmhynUqq7++UwVugNML1sR2wqqNseBQBD4YHSHS6VqQVA6yDuIMRPO/y+a6D1zWZBlVGyTn462QBgvpxvSkA0xCOTbCUssHSyFQIm4Tv7LAFGNg4J25686Z8HYvDjl5TByIAlNEwQvTKfnwgqXijlmf8YK9NLrDL788Q+v8yXez+bhA7flT4Dc/Qnq7Zx3Lt50UsjB1Usn+EKaBDohqrz7/CYs8D1aplwxImfguMNuELwK/+VHK2z0TI992RRwgU1cleNJPrh3o0wFNY1k69CK43vgS0QLq68ZsiEOipKtJm/dvucH+5yXbg91DKJSjrtAmrvYbs5miwKyQ5AU+biXuDYOTmC9v6EdKAt8F56VwnLSuoy2mkUrynnJ0wtQXfssJzCQnIzDddUVg5j5R0z6YO+Hgb/fl4LwRqQ2f6VesAjMx8RSYfsUuMB2IQ2DZg5rA9+3GozTuTDkCRcl+p4SipLmzc0eJUhe/JANdHJBsd3FAtPGmeY+56nZ/StuUKJ2soCj5PKXjUSthuycubKyg6GSZuK9Nd6o8LpWX4+REQU+CpfJyFJhMZecNdny1nwV7T1xw93CUk2NnZuKCzFzmumcOspdlX+5BQVp4lnCE4+3b3D0TUxHyfZ8PIve8yTKhZASuX+0qAbq+f5vjaqLOQ7P3bzf3dk/lJnl7Y+klkUmT3sHSCzKKXC+VUSRJ6gYTnarA0jplwzjdcqgq7NeG0WSDP8MaB6qMOSdn2yq7zsltc5BJrOSdZYQ6M1fT69jvY06UJjCaPF3W001q5irb18jcmO047vKOB3fyoe62tZt2mcPPvl4qJ7GTM+xQBseCO3OcNuZ4KMyOX1nTSTa0oUTYAQaTVzcWZ4sCs25aEZgjXvMCUxjDCswRr1WBeQQbQWAKoylBEYYTWBSQBGZqhxLk5DCMwNymhzrPPbHpu7kBNWXCg0dTdxjvKqsN4y38DMNdJdzXEPISj7A2PPBWyiFHdsRNFjMHVXwxzEE9BZbilIwZ5qA2gZmDKpXguCWp48xMXSWLv2/f/no2zDQ5OxfaEQH8+czPV0feZ/AamCfwGfgKbBRJg1YastQQoIZwNJMeHzk//n3ma2zbLdZjt6ouoMngLT7iH/eYhip2jhpgR6xJuE+w8VgFo5oPxB/PlOk/sLbhP+MfazvuO9Z3PI9huGKkrTh0Vgz8FWeBii22Ytut6GQVTa6iITQURkMVtG22lG1vFnxt/ORqywyJ3eOOZCCnCBsM+J0ef9jS9oI15qohjzUoPYvaO/bS0Xd2Y+tchRCHec37+fg+T93h+R2Rds8Pkx84huM5XOAKWtfXP5hf/WB/9YP71Q9+JhSUAymbCKq17QanCous2BjutM32+/COEmrkxkJtzgxQPXdXzRtv+/vwTuTtRsGRKeHoGXCgdJhP3nmb34d3Ju8A0QTnMOKZPeAkEDBJ3Hm734Q3+tPfzx8I+fb4AQfM46NN+6O/bHSyOi/jugTU9zavds72uHqU77zGOTvgmq9ChtFmqUzjqpdpnLNoYCAiqJxpuKt8YMSpz2nkKdJp1KnUafQp12kcl2Y/GlocRDENN5UxjTDlMY00NTKNcgkFRp+ZmMYx0wEjbzMn07AzMdPwV3YQh/8AVWmD/Q== \ No newline at end of file +###3040:XlxV32DM 3ff0 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###2912:XlxV32DM 3ff6 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###2888:XlxV32DM 3ff9 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###3648:XlxV32DM 3fef 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###4632:XlxV32DM 3fff 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###4268:XlxV32DM 3fff 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###4016:XlxV32DM 3fff f98eNqtm02S47YOgC8zB5AI/to1V5kqUj9VvXlZzDKVuz9RAATY3Uib4yxS7pAiSBEfQEDE/Jy39Qbl/iul+z//tHkLt/kWb/5W/v4Rc7nFdv8I7Tbd7n/F0H8+0ow/Dn8i/qT+cwz3x2//b/r7B+z7LSR3/5+fws2leP/hp+nW/Ho/JR+/H+eoj3yKh72c/xfi3n9xLU/CgIXlZ2Hbt8K24w/QwjwLCyQMMgnb7x9wyvmAgq++sJT9WUpmKelJSgBDym/cJff3z/7HsdL7z/6q+ewJ3BPOnl8xnM2bDDheqQ/YbnE5ulLtCgDsSthxrOBXmo/OmiqP21DJ7pS2yyQkbWdpmaUFlLajtHhKazxuv5A5pIGs7Xg0NOQovcdRNDmq4xwlk6NlmKN9Mjkqr3O0zyZHbYCjyFsfmaNEHCXuSZqjc/E0ADV/tJDmK2s+npo/OhRHC43rrRdH50vQJCRtZmmNpSWUNmuOVpY2a46CrE1xVN7jKJscbeMcFYujPI1z5EyO1gGOwORoH+Ao89Zn5qgQR4V7ygNHTgaQ5h1rfmHNZ9S80xyxH+utwhHIJCQNWNrK0gpKA80R+7HeKhwlWZviqL3HUbU4ym6co2Zy5Mc58hZHeR7gKFgcZRjg6DpuKnPUiKPr6GgPHHkZQJr3rPmdNV9R815xlNmP9VbhKMgkJC2QtDaztIbSguIosx/rrcJRkbVdHOXY46N8aK8+c3QBpMn5SLhTCXcq045lH4grZ3IVRrmq55TuCxT8dglbnlG4GMix/3/4ggHY+cx1zWDgAyr+tAeZ3vXmWcssJMHf/0qITZ1Im30FUI/978jkGFVrO1rL0erdBZLriuwTpEfAHGvrd4FZGk/qjv0/HUeU9hOUvnUnKDURQ73l4i3HIGs5nvt16O98OKslMk45JtV6RdZuWj97IO9e9UBuahYpkEZJ6WsxPBCUUQ/k5mh5IIgveyA3J8sDQX7ZA+Fajr3v23VqtreQC5CeQ1W+e4xaHbqF3pjw4UvpuEnniFX5LFQECTqdXO/Pp7TI0laUloQKfD+SRitL7OrIC57TszdLNXBresBof+cgc9NmYtTGMdpNjNZxjLKJUR3AqJgYLa9jNG2srI11vJ86xlc+e3YNxZxlAGk3k0ephanYkIosjGH2dio5i+rxJWgSklZY2sLSdpRWhDHM3k5pRQ4yxfEmB5mb54Oj9NVB9gJHdKwdUiYTp30Yp3m2cPLTOE7VxGkbwKkZOPl24bQonPRhqHBiaI7t4sMJt44akbHj/U/G5pl75gfGqgwgKipTQcFS70rYoRi7xlXNWJNJSFpDaXlP0pU4SGqOG5uwhXket9LR3bcNDrjaoctNnXWAGvo3xvCR5L8LpI4ZzMDJz+PggQmeGwdveRbGUVi7ErumwPu3+MnNqxGT+XZR7IdiskO97NyO1z7U6+GROacQddJIiAIhCiJDI7rIAIJqYaiadCWO4wWqRdCsLanWDhVciSW39njQnzOushCacSWjaBf3qwr2G6hW4XhVrRyC1pZVK4WgXSmcA7Q/d50CsvnF1PtxkM0vpj6Mg2x+MfUw4EE/xQgXwdcXimp40Gd0MY07FbJLToBvfbbqj6aYQZ7N6uNnmSZ5WJzbruQyFHkH1XrlJfvlaz36WvXBFd+WpBOO9GkVt9PhOOrauMtLF9lZeLYz9XG1QHevx7vekpmEaofKaeeRjpXndGwiBbbjKY7qFpPI4W/4eY+WN6wc1s/RgOj5HEVhZySz4Bb2FjoBaQt7i3wnYL2erexJLr2erQwG7s3R2v/I93OO9EDX0SCZJUijZJbH5jn3VpbnzHgqrMPewDnLG4R92Bu4ZnmDsLzuDdxihedhez08548AfbvQlFx7yvLcdGV5uSSyWIfR0fGwWKzjI8g5fZK5SQShSTp3mmRZLmkOpS0qy3OLSKOV0QGYwrW0prK8wAePW3SW5/xbWZ4DC6M4Hh05b2EUYRyj1cIoTgMYbRZG0Q1gxEbsgHXs0e06dsjOP0CxygDSLgUbZclMBSAVq4rAA58KblURuNtkEpK2sTTOGR2eMW5TWV7kQ9BtKssTjkFleS6+x1EwOQrjHEWTozTO0W5y5F/nCCaTozjAEZ8QLjBHkTjiA8vFB452GUCa31nzlTUfUPO74ihewO6KI5hkEpQGE0trLO281OsdiiOGHCbNkZe1KY7yexwlk6MyzlE2OWrDHMFscpQHOHImR3WAI857XGKOMnHEiYjLmiOYZQBpfmbN83cil1Dzs+aIsYRZc+RkEpLmWNrG0jJKc5ojXhw4zVGUtSmO6nscFZOjPwiPqsnReHgEYHI0EB6BNzkaCY/486ErzFEljjgldvWBI5ABpHlgzXO24wpqHjRHPBGA5sjLJCSNLvXKOrG0itK85ogXB15zlGVtF0d1A+Iov3QN9+nizZ+B2neZkJvN6oFpFLeyBPN2zn9/O1c366bfT3x6uvIdGp4jmP7+PclxMyY5Asaskhwvjer6rCyq/VRwfzfChUjqLZKGcYlQ/0Ouz5AGar4wKEtUrRIRQ3orsQIzksnj12dgFhTl8eszbxYU5YHrM28WFOWB6zPPoQRwYOKnp8QKgiRWp7YBGxM+LIkV8GkG6cHXBBGEzgmwZqk0Dn0Ajyyvaobw/UgarWx+vj7z01fXZ35+wOiteiIHZj1RHr8+A7ueaPz6zJv1RHng+syb9UR54PoMroggs46xnghf+ezR9US4eBpA2uXQo3EICxh6ePfV9ZlX9UT4EjQJSePjrHFYBHicefjq+syreiLFsaonctDe48iuJxq/NwOznqjM4xzZ9UQD92berCcq0wBHV0RQmaNGHF3uqD1w5GUAaZ5Dj7ay5jH08P6rKzLvNUdBJiFpfM41DogBCwd80Bzx4nzQHBVZm+JofY8j81ttgXGOzKqQMn574M2qkOIGODKrQoof4Ii/ysHCHK3EEX/RgYcaDx9lAGk+suY5IIYFNR81RzyRj5qjJJOQtMTxEgfEgCUjPmmOeHE+aY6arE1x9F5ZCJhlIeUPwiOzLKT8QXhkloWUkfDILAspA+ER8Bc74LIQoLIQ4C868FAW4rMMIM1n1vzMmseyEP9QFsLivC4L8UUmIWmFpXEhE2BZiNdlIdetmddlIYL+pq+W+t3mcfzdgpFYqcuk7YUUCsxC/jJcyF9aMVOo+G0KpZUUVZITpFEnOdfdL9BXtT47uQCy595y6czzR72+Lz21gv7JrW9phvO6OOrr4pczl2O780Pm6nbL5Zfh2zm3Wx5/3FBhshx+ef3f18CUDTt93UwbzJ9yoUZCnn1ZiM9vlVjGp0B4IRl8WZ2+HvobN7WTs+szhfanN9OZ0lsOoH7CjEl4CbM8kbBfSlA5sz5b2SXQfuFcJDSTUMDMfl9EKPqsvZ995wPnffaSWbCUq/7u0GLrWYOUulmcSVoO3A7Ujrl8CLKQhMtQDkiWkPF9KYXs1hE/W8fLB9cX1mEdZGUZtw7rHCv7uHUUyzragHVUyzq2AevwlnWsr1tHMKyjTt9aB0fOO5+h+45UUMnDzl563x/Mp8g4Ir0Q6Z7MJ8sTCfuV+TSmvGjz4U8O+85CKwkNJLTJEwn7pdJ72Vho1aYT2UT8o+kkbg/adNBf0Lozvo+cxzn/l+YBk1VfUIf/GQtMVrlmDePmYVUX1HnAPKziguoHzCMa5lHhdfNIlnnEb8wDFQQdKao76Nt8UoFfyXDXT+bmB/NoMo5IbkRyJJI3eSJhv5jHeklt2jwWHjKz0IWEJhSasjyRsF/MY/UsdNHmwedNr3bX5lG4PT2axy7rzvg+yjzqf2seVlVpzePmYRWV1jZuHlbVRE0D5mEVTdQ6YB7ZMo/yunkUyzyWb83DMQyOzQOQikLmcYVJ8GAeq4wjklciOSPJMcoTCfuVebDrnlZtHpdFAQvdSGghoUWeSNivzKOy0E2bB7f2fxGgzYMPsF7bL+aB/oDWnfF9lHks35jH/wFQm2c8###3996:XlxV32DM 3fff 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###4088:XlxV32DM 3fff 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###4140:XlxV32DM 3fff 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###3844:XlxV32DM 3fff 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###4004:XlxV32DM 3fff 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###4004:XlxV32DM 3fff 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###4252:XlxV32DM 3fff 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###4148:XlxV32DM 3fff 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###4016:XlxV32DM 3fff f98eNq1Wkly3DAS/Ixvc8G+dIfu8wpFACQQocv44KPDfx9sBRQpooVuy3bYLSWJJZFVia1v5Hb/KTm7pc8/XquYfhDpH/n9g8d4k1rf/yeIvHGv7z8EITdP2P2HMvYm2f1DulzuQ27lQ5UPVJuR6QeGazP3/wpKa5Wx/gmtXuFbvfz+wU2pqVb4x4stV0JxRba9LO4/Nbxkzy+59pLsLymfa+L4Jd8ICgkd2Vsxdf8oxT5qb3jslfBzJRtUwltZfV32l7alA7/ftAo36e5vecjT53v69+eXcqo+VU7fzP0td9ekZz49kxEV3FrBLT0MpaAeBXm0qagztQaePvILuSPsd8HzC+9K5u4YPspJFVO1CVFbbVqXN1gtmB/w1BlNM1p6w1pvOLu/G0EyrijgEXBVapHQjoF2ZGuHt3YEtCNrO7mc8BG6rdNrbzkS8ttiU4NOwW2tRZvRL6CRBr88sqNr0HJ5lOOep8gxN3eLv4t+yo/g9vUj1JCU5TdN64cqYOLePsvvXjl7TiMGAcJOUZY+T5Fia3slFXO0OXeujENl5FxZ+KIybfQ5JcXXKSnip5TUmpx7pcApVC3uYmzJQL90ijKe174jX/Ad+12+w1d8x634jpj6Dl33HTnzHR6XfScJV3wnDTnyHQPpaatriM++kwtureDwHTsKNt9xtQbZfMdBorqD7+hRrvmBblkpmh8o8AONfEfxhubeYN8B98i9w77T++egHdvaka0dcIz04Mp3bPUXfvYdV3HXfMeNfgEN8B0/ugYtd99R3+s7fuY7PDzvO9vMd/j2vO/47/IdNvUd8YLv8Knv8Bd8Z/8u3xErvuNXfEfNfIfv676jp77j132HNd/h2HccpKevrqEufIc13+HYd/wo2HxnqzXo5jsbJOp28B1UrvmBb1mpmh9AJqcHyHfAjXJvsO+Ae+TeYd/ZoZ0N2tlbO7q1s0E7+6Xv+Oov4uw7W8V9851t9AtogO/so2vQcvcdmyInjdRtf+w7XxrOPjUc96zhaBO/yyPE1CPYCx4hpx5Bn/cISx95BE+RsGAP4Wt7UN5MM9+uZ76dZr5Zz3zRMl/izN8gQfaat+Yi80XLfIkzf4dM2Fvme1tSvsZPq7LlHKz0Tcu5ADkXcW7DxiC3h3O7z+nykNsW9jgWJvS2+bBkPBgpvaEOl9QNLXXDaBZ6CakbR8vQAqRuSfj8t2WuS/ERy9j/h8cSIV6f5iHTJm5BPk3cNunGcD62FlB0GVFLS04geUIr7XqbqdNNUN1k0dl3k2r5vySbBaNKcrOMmszGcPU1HcP1jM++wKe2cUVIsEeEarnEKLdfKGUEcdKyLTrzC4hUsU7MyaasDAdKip0YkcZoE2dG+jMjecp/09xDsgiGJFtpgwiVYjk/WO7tW/oVC9S2+YrV6VKHTEVQ9rU+gvKZPmFBn9rGZcBZ0Ge/0KeWS3xy+0WfjGBKcKSQX8BBJxdiLkwo0RVKpYVLRvQRIzgkMaHFmzyEW7Oj9BSTWUig85wzyCzlzyx9OHtIpmVP9sJCRl2RSU8xGb1Ahs3IrJhbaeGSDH9Ipm1XLWtk9CUZdiBjFsjMMof6FTJmRkY8JNM2+7aljTGXZI45YxfIiBkZt0LGzsjIh2TaBt+KRsZekhEHMm6BjJyRsStk3IyMekimbYesbGTcJRl5IOMXyKgZGbNCxs/I6Idk2lbLqkbGX5JRBzL7ApnZaoDqFTL7jIx5SKbt5ywsBfZLMsd1AOdfs5F8m9FRC3RqG5d83KPFTS2XCOX2C6OMYEq8LU/zCwdSYoXUbAVK5RIpMSPlH5MSQGoHUmJCaj+SkiukpmsCsURquijYHpOSQCoAKTkhFY6k1AqpOCPFl0hNFwf7Y1IKSEUgpSak4pHUwhJBlmOKS1JsidR0kRAek2qrhNx+I6WvSaUXDqTMCqnpKo4ukZouFuJjUgZIUSBlJqTokZRdITVdzZElUrNFgyCPSVkgxYCUnZBiR1J+hdR0PxSXSM3mW0Efk/JAigMpPyF1WNspW++V2E00Uub+UY4g+nHOh1Shblzt6UA5pUTrXJuulGknQ0KiWiyuBZpEQ5SCcFRTSqtPI/MLblJyJ1JV9/f8Xz5bEAPXMe1dY0H723U19V4PV5Tl4+16gFQXVHkkyPpA0Nk4iGfGgcyGQSwMAz2MAuvoGAOgSvAIwN29agmtSKPPt/wkrTlv2zgWLhk6DoLbb7x+CHQ6/KHrCJUr+PRh61FxPbxMO528RpLH093Kdcw04nym+8HrgPF6qFoifByEWv7pikpCnZ++zUFSl0jtmbw+gLalenk8m23VwQxP9/Ox7MMu/tIywHYq5aJPuthytEgQ6hJaDjDlhtB8BlMOA0HYgsKpYh3Ogm63xLo8VTnLq4jtSVE9SYC/spGLlp6IgnqE5p7wgu4IzT1h+MsdBYXjU8vtQPNhZekRwZe1GckHsu+ihtme60kz480uhhmOrxFR4tO3LHpEweRB9OOIGqEk/DSU2AuhJNz54LyHEszWzExCqQePFSCDcCggnEVoDwjRUV8DQrgWEDsdT1BAWAGBlYoO6eGLQgUF6W1ddjW0Sy/Qu0X63HaR3rnRJpZ+y9RSFTf/gvQTa1GOzQKhHxAzthgIyolZIHyavRcCQTk+85R+OEr8k54SYL5LlQ9PCRtCu6eAcAXtIRQiQiGE6jgWVJQQyk+bp2zjCfaUum/KOEMhFAJCu3sEi1AIIeXoQMtVR267hBBHbaIQMjyXSFP3zTwzSfVoMeUq7nIiInCAwMhzE5HhfBo05vmgKRdZ9BgzrRLbQ6WHg2QRriF4ka3eeuULDDoeINUMMYCzMRMYohDatYR5pqCgpaEEoaBlHdmGZi1Lh7KWhvHRE6RlNbhvtgMr4tQO4EiF0eV5YZ8qK16ZF8LUDmDF0r/LtWoHrntzQHbgJEK7HXiC0DGjBISCHfAdpu80BnVGCTWw0Ly0H+zAdTziGUUhtNuBiwiFEOK7GGidUXaYUbbRJgohLeXcDlaihZxzTZxyLcXI+GqB1NNwcM+Hg5ZqGg5wzEzDc+HQczNX3sOhZ3dBIRy6ExS0hwPkfEEhHGpfC6pLOGRA1XtQOZ6gcJAcgq1+J64UPNhBRrCWNv6Vlny3UzHdhZiOTsXcXhDTkamYcJvD3JNiErg2T5UjMQ1Ch5gKoSDmCIeMdjFdR2kV05Empo3jyUHMNpnkQS5q5pJFTcpHCbzWC5mLSdtbpObyNhJE/WlEGW/viJ/M20KBdTL/5LxdfrhcprN++q6/WqY73eI/V9cH3sLKpqAw8EaFgaZhfE/0Cty0yyz7lOwIQSj4qVEaoX1KVjtCu58GP9DSHDdVm63s9NnNvaDNdfohpbapUuplpeIsuRis2qh9LrmQbnEkl4UEKCgkl4WEKShozHeH0K6xpgO91Hi71Hi71HhDGmv87tB4G+hB4/1farxPNdavaqzZVGPz1xprhjSGnU5Bh8YcoUNjj9ChsRjopcb7pcb7pcY71pgjdGi8D/SgcfiXGoepxuZljeVUY/v3GkucxxjtGluF0KFxQOjQWA/0UuNwqXG41DhgjXENQ+MwUKxxpP9S4zjV2L6ssZlq7P5eY4M1tggdGjuEDo0jQofG6N1LjeOlxvFS44g1tgjtGkc60IPG4lvXSpTMVNX9SxL8OVWd5tO1kl9eK9kN7E2j8yi7E4T2DejGBjq0cQTGm5KhDd8oQkEbB4dOBe2nmWD/BR3aiIFibcp3779Rm9lFiDD05YzzU222F9axGt0/oBzzOG/2gV7lDXVXeUPdVd5Qh/NmQ2jXZkP1HuY/8g+9MU6zSMKdQB7ep66s6D69Y4LzYPrkJrFnT658eOMWENq9sd8O0R1pDOe7Be1XChS9OzTmEbInovyzNCAUNOY9/yLOv24CEedfIANFGrui5/flXyl6pSqPcBFJybPeyKbXPeC3nH/tjRH8jmFtAkJBG9c3dof15/DGxHLkX1cho6BNDpv/A2b4mHA=###3604:XlxV32DM 3fff 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###3960:XlxV32DM 3fff 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###6852:XlxV32DM 3fff 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###6104:XlxV32DM 3fff 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###4352:XlxV32DM 3fff 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###4208:XlxV32DM 3fff 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###4624:XlxV32DM 3c37 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 \ No newline at end of file diff --git a/main_map.ngm b/main_map.ngm index eff88db..04dff25 100644 --- a/main_map.ngm +++ b/main_map.ngm @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$3`e5=6:2.Yi{g|inl9$4(5=1*/=<5+Rdtjwlii2);%98.+1:27?5679=1;<=<;;123@1=78;:?7=638>0=8>jcq306(333:95:5457?=6788;047AZTQWW>ICC4>0;209:6>?492K97L7<;@FQ1>GTQGI?7O?>129AQC2DRAENTXL\HEUCQGM>EB:2IB96MDBTD0?FJL12IGGRLZFFRf?FJLWK_MK]!MUGE2`>EKCVH^JJ^ BTDD[L6Xe|rThbPshee?FJLWK_MK]!FBTDD7>EKZ01HC@CFTUGG<>EHFZ^JBY?>;BMMWQGI\V^YM^FLAO58GWCF\LN:7I=4D011?AOb3MXHS^[LCDQPBPJ23MXBZH?4E59F05633LONM95JEG225>C_XHDOIIQMCE]EMIC6<2OS\L@KEE]@KKUSZHCEX^?>;DZSEKBBLVCXIRHFLD68B5>7;2L:?>5I1508B226HM2:DG1>@CKYO87KJJ3:DGV1=AL[O>7KJ]SD18BAU53OO?7KK?059EAC653OL?7KH7059EVDAe3OXJKNAYESGGe>@UINUIM^KKb:DQEBYDGGLBKl5IR@E\GJSI\11M^BCPUBD2?B4AB9=1LICK]AUGG$UIT\$LGECZ>2:EFJ@TF\LN+\B][-F137>AIL<1L^B[_3:J277=O[>1C_RAMUG38M7=N8;1B=?5F239J71=NJ\L>7DLZFF08MK5OIWGMOSL@K_CAG2>OHKFG[m6G@SUCM@DTC>2CDXT^J4:KSEA56BK5:NGGUC13EOO0=09;MGG84813EOO0?09;MGG86813EOO0909;MGG80813EOO0;07;MGG82<76?1GII28>`9OAAY7WFH^Jl5CEE]2[JDRNh1GIIQ=_N@VBd=KMMU8SBLZF`9OAAY3WFH^Jl5CEE]6[JDRNh1GIIQ9_N@VBd=KMMU=7A[[4^F5?ISS9:OO[BCIM[K_IIQ_ORV.CWGIA]O87@[W5:OV\F_23D_SJ8m4M`hlvScu{`eeo6CfnnpUawungg80B==4N131?K743G;:?6@>329M505H3:2D>>6@92:L:3>HB\^EYG55AOU]SL\U63F;m7B3'()|J4/./v@;!E?'$I0+*/L6."@9"=h5@=)*+zL6- @;!E>'&+)K3.L5.!"C9%5@=)*J4/.N9#C9%$%F3(37?J;/ @:KE<'%(K1.L5.!:>0C0&'~H2),-xN9#"B>'pF3(+(,L7- @8 E>'&)()+M5,/A;!B?$'&229L9-.yA9 #E<$F2(+(,L6- @;!$D<%I2+*-,4e3F7#$sG?*)K2/-O5#@9"%$%'I1(+zL7- wC9&sG<)(+*73=H5!"uE=$'I0B}M7/.#!C;&%&qI0(+zL4-v@9"%&&F1+*J6.O4! #"%?>4O<*+zL6- wC:&sG=)()+M5,/A8!B>$'&269L9-.yA9 #rD<%~H1*-..N8#"B='&qI3(}M6/.! ;j7B3'(K3.{O6!""B<'&F2+K0-,/5:2E6$%pF0+|J5,-/A9 #rD?%(K1.{O4! #"=n5@=)*}M5-/A9 #rD<$~H1*-,,yA8#:96A2()|J4..N8#tB>$'OI0+2e>I: @:!$%&qI0(J7,-N9 uE?'&1`9L9-O7"!"uE<%'I0(J7,/-v@8"%<=4O<*J4/.N9#"B>'G<)(+e?J;/A9 #E<$qI3+*51=H5!C;&%pF1+*J6/O4! #:96A2(H2),{O6"!C9&sG<)(+21>I: @:!$sG>*)|J6/O4! #::6A2(H2),{O6"!tB>'pF3(+*56=H5!C;'%G>*)K1/L5.! o0C0&F0**J5.O5! l0C0&F0**}M4-N: #27B3'I1)J5,g*)|J6/xN; #"=:5@=)|J4..yA8 #rD<%~H1*-,773F7#rD>$(K2/L4.!<1D1sG?4:MAQC5T03[OFC[KK119QAHIQMMU_^L]GC@L24>TBZLYD@[KPP@PBIV0384RF@?7;02XLN191a:PDFZ6XGK_Mm6\HB^3\KGSAi2XLNRT@JV9TCO[Ia:PDFZ2XGK_Mm6\HB^7\KGSAi2XLNR8POCWEe>T@JV=TCO[I3:PLI6=U[];0_>5\EM18W@R43ZLM56]FG^ALQKR33ZFN[>5\NJ18WWEc3ZXHSEGJR@P@L\2<[[Y_86]\EU:8WQSDCVK_86]VNB;8W\HDWH^_^k5[ASEFPZVFZ]^R\H64TDPNMKGK>2^BIBHIb:VQEKUWI[OEX95[YQG5?PHR[LNh7X]JR^TJWLDKM:1]ONo4VFCGvlpbz&i0ZJOKrhtfv*NT9=1]KLJ}iwgq+MUXign;<=>>6:TDEAtn~lx$D^Qnne234545:81]KLJ}iwgq+MUXign;<=>=2.NVP1YKWK_M=55YG@Fqmscu'AYTmcj?01216*I6i2\LMI|fvdp,LVYffm:;<=<=_RU353=QOHNye{k}/IQ\ekb789:?9<84VFCGvlpbz&BXSl`k0123367f3_MJHgyes-KWZgil9:;<:=PSV227>P@IMxbzh| ISEA85869880ZJOKrhtfv*OUOK692P@IMxbzh| ISEA81869890ZJOKrhtfv*OUOK6?2<<>5:TDEAtn~lx$E_IM<5<2[c36m2\LMI|fvdp,IdbcWQUjhi>?01]`}9699l1]KLJ}iwgq+HgclVRTmij?012\g|:66;;0ZJOKrhtfv*KflmUSSljk0123[f;97Uyx3<>;WEB@woqm{%FmijPX^cg`5678Vir0?0Pru3f?SAFL{c}i!Baef\\Zgcl9:;P@IMxbzh| M`fg[]Yflm:;<=Qly=1=[wr6m2\LMI|fvdp,IdbcWQUjhi>?01]`}9299l1]KLJ}iwgq+HgclVRTmij?012\g|:268o0ZJOKrhtfv*KflmUSSljk0123[f;>7;n7[INDskuaw)JimnTTRokd1234Ze~4>4:i6XHAEpjr`t(EhnoSUQnde2345Ydq525=k5YG@Fqmscu'DkohRVPaef3456Xd|~7<3<:;WEB@woqm{%FmijPX^cg`5678Vf~x1>1_HLU[57a3_MJHgyes-NeabXPVkoh=>?0^zlv9699o1]KLJ}iwgq+HgclVRTmij?012\|jt;97;m7[INDskuaw)JimnTTRokd1234Z~hz585=k5YG@Fqmscu'DkohRVPaef3456Xpfx7?3?i;WEB@woqm{%FmijPX^cg`5678Vrd~1:11g9UCDBuaoy#@okd^Z\eab789:Ttb|35?3e?SAFL{c}i!Baef\\Zgcl9:;Pxnp?3;7a3_MJHgyes-NeabXPVkoh=>?0^zlv9>99o1]KLJ}iwgq+HgclVRTmij?012\|jt;17;n7[INDskuaw)JimnTURokd1234Ze~494:i6XHAEpjr`t(EhnoSTQnde2345Ydq5;5><5YG@Fqmscu'DkohRWPaef3456Xkp6:2R|{1d9UCDBuaoy#@okd^[\eab789:Tot2=>338RBGCz`|n~"Cnde]Z[dbc89:;Snw32?]qp4c<^NKO~dxjr.Ob`aY^Whno<=>?_b{?7;463_MJHgyes-NeabXQVkoh=>?0^az868Xz};n7[INDskuaw)JimnTURokd1234Ze~4=49=6XHAEpjr`t(EhnoSTQnde2345Ydq5>5Sz>e:TDEAtn~lx$Aljk_X]b`a6789Uhu1;1209UCDBuaoy#@okd^[\eab789:Tot2:>^pw5`=QOHNye{k}/Lcg`Z_Ximn;<=>Pcx>5:77<^NKO~dxjr.Ob`aY^Whno<=>?_b{?2;Yu|8o0ZJOKrhtfv*KflmURSljk0123[f;?78:7[INDskuaw)JimnTURokd1234Ze~4>4T~y?j;WEB@woqm{%FmijPY^cg`5678Vir050=1:TDEAtn~lx$Aljk_X]b`a6789Uhu161_sv2b>P@IMxbzh| M`fg[\Yflm:;<=Qcuu>3:73<^NKO~dxjr.Ob`aY^Whno<=>?_mww858XAG\T<f:TDEAtn~lx$Aljk_X]b`a6789Usc2>>0d8RBGCz`|n~"Cnde]Z[dbc89:;Sua}<3<2b>P@IMxbzh| M`fg[\Yflm:;<=Qwos>0:4`<^NKO~dxjr.Ob`aY^Whno<=>?_ymq8186n2\LMI|fvdp,IdbcWPUjhi>?01]{kw:268l0ZJOKrhtfv*KflmURSljk0123[}iu4?4:j6XHAEpjr`t(EhnoSTQnde2345Yg{6<2f:TDEAtn~lx$Aljk_X]b`a6789Usc26>0a8RBGCz`|n~"Clotlw[miuasUhu1>11b9UCDBuaoy#@m`uov\ljtnrVir0<0>f:TDEAtn~lx$Anaznu]kkwo}Wjs7=3Q}t0a8RBGCz`|n~"Clotlw[miuasUhu1<11g9UCDBuaoy#@m`uov\ljtnrVir0?0Pru3`?SAFL{c}i!BcnwmpZnhz`pTot2<>0d8RBGCz`|n~"Clotlw[miuasUhu1=1_sv2g>P@IMxbzh| MbmvjqYog{cqSnw34?3e?SAFL{c}i!BcnwmpZnhz`pTot2;>^pw5f=QOHNye{k}/LalqkrX`fxbvRmv<4<2b>P@IMxbzh| MbmvjqYog{cqSnw35?]qp4e<^NKO~dxjr.O`kphsWaeyewQly=4=5c=QOHNye{k}/LalqkrX`fxbvRmv<7<\vq7d3_MJHgyes-Ngjsi|Vbd~dtPcx>4:4`<^NKO~dxjr.O`kphsWaeyewQly=5=[wr6k2\LMI|fvdp,Ifirf}Uccgu_b{?<;7a3_MJHgyes-Ngjsi|Vbd~dtPcx>;:Zts9m1]KLJ}iwgq+Heh}g~Tdb|fz^nvp969::1]KLJ}iwgq+Heh}g~Tdb|fz^nvp969W@D]S=?k;WEB@woqm{%Fob{at^jlvl|Xpfx7<3?k;WEB@woqm{%Fob{at^jlvl|Xpfx7=3?k;WEB@woqm{%Fob{at^jlvl|Xpfx7>3?k;WEB@woqm{%Fob{at^jlvl|Xpfx7?3?k;WEB@woqm{%Fob{at^jlvl|Xpfx783?k;WEB@woqm{%Fob{at^jlvl|Xpfx793?k;WEB@woqm{%Fob{at^jlvl|Xpfx7:3?k;WEB@woqm{%Fob{at^jlvl|Xpfx7;3?k;WEB@woqm{%Fob{at^jlvl|Xpfx743?k;WEB@woqm{%Fob{at^jlvl|Xpfx753b:TDEAtn~lx$Anaznu]uawrXkp6:24:Zts9k1]KLJ}iwgq+Heh}g~Tzh|{_b{?<;7b3_MJHgyes-Ngjsi|V|n~yQly=:=[wr6k2\LMI|fvdp,Ifirf}U}izPltv?4;453_MJHgyes-Ngjsi|V|n~yQcuu>3:ZOI^V::o6XHAEpjr`t(Eje~byQyesv\|jt;87;h7[INDskuaw)JkfexRxjru]{kw:668i0ZJOKrhtfv*Kdg|dS{k}t^zlv9499j1]KLJ}iwgq+Heh}g~Tzh|{_ymq8686k2\LMI|fvdp,Ifirf}U}izPxnp?0;7d3_MJHgyes-Ngjsi|V|n~yQwos>6:4e<^NKO~dxjr.O`kphsWoyxRv`r=4=5f=QOHNye{k}/LalqkrX~lxSua}<6<2g>P@IMxbzh| MbmvjqYqm{~Ttb|38?3`?SAFL{c}i!BcnwmpZpbz}Usc26>0d8RBGCz`|n~"Clotlw[scu|Vrd~171_sv1e>P@IMxbzh| Mrwa[]Yflmy~n=>?0^zlv92998UBB[Q?259UCDBuaoy#@}zb^Z\eabt}k:;<=Qwos>6:475<2\LMI|fvdp,IvseWQUjhi}zb1234Z~hz5<5=<<;;WEB@woqm{%FxlPX^cg`vse89:;Sua}<6<2572<^NKO~dxjr.OpqgY_Whnoxl?012\|jt;07;:>85YG@Fqmscu'Dy~nRVPaefpqg6789Usc26>03261=QOHNye{k}/LqvfZ^Ximnxyo>?01]{kw:>6889=6XHAEpjr`t(EziSTQndeqvf5678Vir0=0=4:TDEAtn~lx$A~{m_X]b`aurj9:;Pcx>1:72<^NKO~dxjr.OpqgY^Whnoxl?012\g|:56Vx><5YG@Fqmscu'Dy~nRWPaefpqg6789Uhu1=1209UCDBuaoy#@}zb^[\eabt}k:;<=Qly=6=64=QOHNye{k}/LqvfZ_Ximnxyo>?01]`}939:=1]KLJ}iwgq+HurjVSTmij|uc2345Ydq5?5Sz=1:TDEAtn~lx$A~{m_X]b`aurj9:;P@IMxbzh| Mrwa[\Yflmy~n=>?0^az828592\LMI|fvdp,IvseWPUjhi}zb1234Ze~4149>6XHAEpjr`t(EziSTQndeqvf5678Vf~x1=1299UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>0:ZOI^V:9>6XHAEpjr`t(EziSTQndeqvf5678Vf~x1:1299UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>7:ZOI^V:9>6XHAEpjr`t(EziSTQndeqvf5678Vf~x181299UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>5:ZOI^V:9>6XHAEpjr`t(EziSTQndeqvf5678Vf~x191299UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>4:ZOI^V:9>6XHAEpjr`t(EziSTQndeqvf5678Vf~x161299UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>;:ZOI^V:9>6XHAEpjr`t(EziSTQndeqvf5678Vf~x171299UCDBuaoy#@}zb^[\eabt}k:;<=Qcuu>::ZOI^V:9>6XHAEpjr`t(EziSTQndeqvf5678Vrd~1>1239UCDBuaoy#@}zb^[\eabt}k:;<=Qwos>2:74<^NKO~dxjr.OpqgY^Whnoxl?012\|jt;:7897[INDskuaw)J{|hTURokdrwa4567Wqey0>0=2:TDEAtn~lx$A~{m_X]b`aurj9:;?01]{kw:26;80ZJOKrhtfv*Kt}kURSljkst`3456Xpfx7:3<=;WEB@woqm{%FxlPY^cg`vse89:;Sua}<6<16>P@IMxbzh| Mrwa[\Yflmy~n=>?0^zlv9>9:;1]KLJ}iwgq+HurjVSTmij|uc2345Yg{622n5YG@Fqmscu'G8h7[INDskuaw)I?j1]KLJ}iwgq+K?682\LMI|fvdp,VBD;<7;;7[INDskuaw)UOK6<2k5YG@Fqmscu'[MIS9h4VFCGvlpbz&XLNR9>3:TDEAtn~lx$^h}zlu>3:42<^NKO~dxjr.Pfwpjs494:=>5YG@Fqmscu'[oxyaz31?37?SAFL{c}i!]erwop9799890ZJOKrhtfv*Tb{|f0?0>4:TDEAtn~lx$^h}zlu>1:4743_MJHgyes-Qavsk|595=95YG@Fqmscu'[oxyaz33?327>P@IMxbzh| Rdqvhq:368>0ZJOKrhtfv*Tb{|f090>129UCDBuaoy#_k|umv?1;733_MJHgyes-Qavsk|5?5=<=4VFCGvlpbz&Xnxb{<7<20>P@IMxbzh| Rdqvhq:168;87[INDskuaw)Umzgx191159UCDBuaoy#_k|umv?3;76;2\LMI|fvdp,V`urd}632<:4VFCGvlpbz&Xnxb{<9<256=QOHNye{k}/Sgpqir;17;?7[INDskuaw)Umzgx1711b9UCDBuaoy#[]>4:TDEAtn~lx$Z^Qnne23457?3_MJHgyes-UWZgil9:;P@IMxbzh| W068RBGCz`|n~"YPnnv34576m2\LMI|fvdp,\969m2\LMI|fvdp,\979m2\LMI|fvdp,\949m2\LMI|fvdp,\959m2\LMI|fvdp,\929m2\LMI|fvdp,\939m2\LMI|fvdp,\909m2\LMI|fvdp,\919m2\LMI|fvdp,\9>9m2\LMI|fvdp,\9?9l2\LMI|fvdp,\Z6c3_MJHgyes-[[4b<^NKO~dxjr.Z\6a=QOHNye{k}/Y]0`>P@IMxbzh| X^6g?SAFL{c}i!W_4f8RBGCz`|n~"VP6e9UCDBuaoy#UQ8d:TDEAtn~lx$TR6k;WEB@woqm{%SS4?9;WEB@woqm{%SSljk01238586>2\LMI|fvdp,\Zgcl9:;<1?1179UCDBuaoy#UQnde2345:568<0ZJOKrhtfv*^Ximn;<=>33?35?SAFL{c}i!W_`fg45674=4::6XHAEpjr`t(PVkoh=>?0=7=53=QOHNye{k}/Y]b`a67896=2<84VFCGvlpbz&RTmij?012?3;713_MJHgyes-[[dbc89:;050>6:TDEAtn~lx$TRokd12349?9901]KLJ}iwgq+]Yflmy~n=>?0=6=5<=QOHNye{k}/Y]b`aurj9:;<1;1189UCDBuaoy#UQndeqvf56785<5=45YG@Fqmscu'QUjhi}zb1234919901]KLJ}iwgq+]Yflmy~n=>?0=:=5<=QOHNye{k}/Y]b`aurj9:;<171179UCDBuaoy#UQnne2345769;;0ZJOKrhtfv*^Xign;<=>>10-OQQ5XDVH^J<64VFCGvlpbz&RTmcj?012254)H9?1]KLJ}iwgq+]Yffm:;<=?>5048RBGCz`|n~"VPaof34566;?8:7[INDskuaw)_Whdo<=>?124,HPR3WMUIYK?9;WEB@woqm{%SSl`k0123507602\LMI|fvdp,\Zgil9:;<<;>_03;?SAFL{c}i!W_`lg45679<;T><;4VFCGvlpbz&RTmcj?012034?<^NKO~dxjr.Z\ekb789:8;R]X0368RBGCz`|n~"VPaof34564?VY\<"BZT5]O[GSA9k1]KLJ}iwgq+]Yffm:;<==8_RU3+J7>3_MJHgyes-[[dhc89:;94Q\W136?SAFL{c}i!W_`lg4567><;27[INDskuaw)_Whdo<=>?64]PS5433_MJHgyes-[[dhc89:;:8Q\W1-OQQ2XDVH^J?0812=>P@IMxbzh| X^cm`567802T_Z>j;WEB@woqm{%R0=0j;WEB@woqm{%R0<0j;WEB@woqm{%R0?0j;WEB@woqm{%R0>0j;WEB@woqm{%R090j;WEB@woqm{%R080j;WEB@woqm{%R0;0j;WEB@woqm{%R0:0j;WEB@woqm{%R050j;WEB@woqm{%R040k;WEB@woqm{%RS=j4VFCGvlpbz&ST=i5YG@Fqmscu'PU9h6XHAEpjr`t(QV9o7[INDskuaw)^W=n0ZJOKrhtfv*_X=m1]KLJ}iwgq+\Y1l2\LMI|fvdp,]Z1c3_MJHgyes-Z[=b<^NKO~dxjr.[\=40<^NKO~dxjr.[\eab789:7<3?9;WEB@woqm{%RSljk01238486>2\LMI|fvdp,]Zgcl9:;<1<1179UCDBuaoy#TQnde2345:468<0ZJOKrhtfv*_Ximn;<=>34?35?SAFL{c}i!V_`fg45674<4::6XHAEpjr`t(QVkoh=>?0=4=53=QOHNye{k}/X]b`a67896<2<84VFCGvlpbz&STmij?012?<;713_MJHgyes-Z[dbc89:;040>9:TDEAtn~lx$URokdrwa4567494:56XHAEpjr`t(QVkoh~{m0123848612\LMI|fvdp,]Zgclzi<=>?<3<2=>P@IMxbzh| Y^cg`vse89:;0>0>9:TDEAtn~lx$URokdrwa45674=4:56XHAEpjr`t(QVkoh~{m0123808612\LMI|fvdp,]Zgclzi<=>?<7<2=>P@IMxbzh| Y^cg`vse89:;0:0>9:TDEAtn~lx$URokdrwa4567414:56XHAEpjr`t(QVkoh~{m01238<86:2\LMI|fvdp,ljtnr5:5=?5YG@Fqmscu'aeyew2>>008RBGCz`|n~"f`rhx?6;753_MJHgyes-kkwo}4:4:>6XHAEpjr`t(`fxbv1:1139UCDBuaoy#ea}i{>6:44<^NKO~dxjr.jlvl|;>7;97[INDskuaw)og{cq0:0>2:TDEAtn~lx$db|fz=:=57=QOHNye{k}/imqm:>68;0ZJOKrhtfv*nhz`pT<3:47<^NKO~dxjr.tfvq:668;0ZJOKrhtfv*pbz}692038RBGCz`|n~"xjru>7:47<^NKO~dxjr.tfvq:268;0ZJOKrhtfv*pbz}6=2038RBGCz`|n~"xjru>;:47<^NKO~dxjr.tfvq:>68:0ZJOKrhtfv*pbz}U;==5YG@Fqmscu'oyxR?>0:TDEAtn~lx$zh|{_333?SAFL{c}i!yesv\746<^NKO~dxjr.tfvqY3991]KLJ}iwgq+scu|V?:<6XHAEpjr`t(~lxS;??;WEB@woqm{%}izP7028RBGCz`|n~"xjru];55=QOHNye{k}/wgqpZ?612\LMI|fvdp,r`tsWjg{Sh?0122e>P@IMxbzh| vdpw[fkwWl{;<=>>1d9UCDBuaoy#{k}t^antZcv89:;=R]X03;8RBGCz`|n~"xjru]`iuYby9:;<4VFCGvlpbz&|n~yQlmq]fu56788UX[=!@1e9UCDBuaoy#{k}t^antZcv89:;S^Y?299UCDBuaoy#{k}t^antZcv89:;S^Y?/MWW7ZJXJ\L:j6XHAEpjr`t(~lxSnc_ds3456X[^:$C<74VFCGvlpbz&|n~yQlmq]fu56798h0ZJOKrhtfv*pbz}Uha}Qjq1235476j2\LMI|fvdp,r`tsWjg{Sh?0131670<^NKO~dxjr.tfvqYdeyUn}=>?130,HPR3WEUIYK?k;WEB@woqm{%}izPclr\at678889#B?m;WEB@woqm{%}izPclr\at67889=56XFEV]W]UC53_Y<7[]POCWE5a=_AECET VKB!2-5%US]K*;"<.NSBKJ7>^HZ<1SC_MV6:ZPPIOE?2RXXRIAD69[WQYQKJh0T[3Q\@S@[WF4;8\SMXPFXHU;5Wdc]J``=_laUHc|Mlnahw46^ceVGbbb|Yesqjkk54:2=nf{miS994iopdfZ1c3`dykoQnne2345773`dykoQnne234575<8:0ec|hb^cm`56788>4iopdfZgil9:;<<8=109jjwaeWhdo<=>?170256=nf{miSl`k0123534Xn<;;7d`}gc]bja67898;=>1018mkt`jVkeh=>?0322[c3682ce~jlPaof3456590l0ec|hb^cm`5678:?m7d`}gc]bja6789?>j6garf`\ekb789:=9k5fnsea[dhc89:;;038mkt`jVg~t=>?1=1=51=ji`d87`o8:ld`gsndm;37}fvshabhZaug|zTOAEPBTDDT<=txhxmc=j8:zjhlh}g;37ubax^cvpjY7Wqni#n}{.y```xFGxml37MNw2c:9B?1=9rY897454:0kn688=9zl1=c<63g8j<784$3;1>7>13tY8>7454:0kn688:5:Q:6?4ei3;1=>==9`g9133?3Z996?ln:082764>il0>:8o4S8096g?=:3;8??7ne;751f=Ti=09n44j6;3077?fm3?=9h5\3381f<<52898>4oj:446a>b5j10;6<4={R16>7g62l=1=>==9`g9134>3-886?ll;I1:?kg12<;9:i8>4:&b1?4ek2.j;7k9;wVb7?6=93;1==otS2796d7=m>0:?><6ad8627?<,;2864;4V3;`>7}rij0:7xok:19~ `e=9:1/8<4?;%1e>7db3->;6::4b3`;>5<6;3;:n7??9zJ25`=#98i1>o64Z3696~7?28=1qc<6d;28m7e=831d:94?::m1<1<722emj7>5$dd9b`=iml0;76aid;29 ``=nl1eih4>;:meg?6=,ll1jh5aed81?>iaj3:1(hh5fd9ma`<432emm7>5$dd9b`=iml0?76ai9;29 ``=nl1eih4:;:meia?3:1(hh5fd9ma`<032e3;7>5$dd9<3=iml0;76a75;29 ``=0?1eih4>;:m;0?6=,ll14;5aed81?>i?;3:1(hh5879ma`<432e3>7>5$dd9<3=iml0?76a71;29 ``=0?1eih4:;:m;4?6=,ll14;5aed85?>i0n3:1(hh5879ma`<032h94l4>:083>5}#98i1:<5G29;8L47b3-82n7;%326?4e02e>m7>5$3;a>`2<3th94o4>:083>5}#98i1=5$3;a>`2<3th9m84>:783>5}#98i1;i5G29;8L47b3-=:6>5+28`93f=#1809ni5a28f97>"69;09n55f3783>!4>j35<7s-;:o79k;I0;=>N69l1/;<4<;%0:f?1d3-3:6?lk;o0:`?2<,8;96?l7;h15>5<#:0h1:i54i4c94?"51k09o65`f383>!4>j3o?76g:e;29 7?e2;307dh>:18'6:18'66582>6<729q/=>3A;:i6*=9c81<2=#1809ni5a28f91>"69;0:>=5f2`83>!4>j3">938ih6`=9e85?!76:3;9<6g=a;29 7?e2?n07d7?:18'6m10coj50;&1=g5<7s-;:o7on;I0;=>N69l1/>4l5a89'=4<5jm1e>4j57:&257<6:91b>l4?:%0:f?0a32c847>5$3;a>3c<3`;;m7>5$3;a>46e32eih7>5$3;a>`2<3th::?4>:283>5}#98i1>564H3::?M76m2.95o4=869'=4<5jm1e>4j58:&257<6:91b>l4?:%0:f?0c32c2<7>5$3;a>3b<3fho6=4+28`9a1=97?54;294~"69j0jm6F=889K54c<,;3i6l74$8396gb=n;10;6)<6b;4f?>o68h0;6)<6b;33f>=hjm0;6)<6b;g7?>{e9?;1=7=50;2x 47d2;237E<79:J25`=#:0h1>594$8396gb=n190;6)<6b;4g?>iel3:1(?7m:d68?xd6=:0:694?:1y'54e=ih1C>574H03f?!4>j3k27)7>:3`g?k4>l3h0(4l56g98m6>=83.95o49e:9j55g=83.95o4>0c98kgb=83.95o4j4:9~f40728086=4?{%32g?4?02B9445G10g8 7?e2;2<7)7>:3`g?k4>l3i0(4l56e98m<6=83.95o49d:9lfa<72-82n7k;;:a507=93>1<7>t$03`>dg<@;227E?>e:&1=g7?=0:k1e?6=,;3i6;h4;h1;>5<#:0h1:h54i02b>5<#:0h1==l4;n`g>5<#:0h1i954}c36b?7=;3:11b81<==O:130D7?=0:k1e?6=,;3i6;j4;h;3>5<#:0h1:i54ocf94?"51k0n865rb06e>4<3290;w)?>c;cb?M4?12B:=h5+28`9e<=#1809ni5a28f9b>"69;0:>=5f2`83>!4>j307pl>5d82>6<729q/=>3A;:i6*=9c81<2=#1809ni5a28f955=#9881=?>4i3c94?"51k0=h65f9183>!4>j307pl>4e82>1<729q/=l10e<>n:18'6">938ih6`=9e826>"69;0:>=5f2`83>!4>j3o10e>650;&1=g<1m21b==o50;&1=g<68k10coj50;&1=g5<7s-;:o7<78:J1<<=O98o0(?7m:3:4?!?62;ho7c<6d;37?!76:3;9<6g=a;29 7?e2?n07d7?:18'6m10coj50;&1=g5<7s-;:o7on;I0;=>N69l1/>4l5a89'=4<5jm1e>4j5149'544=9;:0e?o50;&1=g<1n21b?54?:%0:f?0b32c:N69l1/>4l52958 <7=:kn0b?7k:048 475288;7dm10e4>50;&1=g<1l21dni4?:%0:f?c332wi=9651;694?6|,8;h6lo4H3::?M76m2.95o4n9:&:5?4el2d95i4>7:&257<6:91b>l4?:%0:f?0a32c847>5$3;a>3c<3`;;m7>5$3;a>46e32eih7>5$3;a>`2<3th:8:4>:283>5}#98i1>564H3::?M76m2.95o4=869'=4<5jm1e>4j5199'544=9;:0e?o50;&1=g<1l21b5=4?:%0:f?0c32eih7>5$3;a>`2<3th:8;4>:283>5}#98i1>564H3::?M76m2.95o4=869'=4<5jm1e>4j5189'544=9;:0e?o50;&1=g<1l21b5=4?:%0:f?0c32eih7>5$3;a>`2<3th:?o4>:583>5}#98i1ml5G29;8L47b3-82n7o6;%;2>7dc3g82h7?n;%326?7582c9m7>5$3;a>3`<3`936=4+28`92`=b:&257<6:91b>l4?:%0:f?0c32c2<7>5$3;a>3b<3fho6=4+28`9a1=4473`8j6=4+28`92c==n99k1<7*=9c824g==zj8>?6<4<:183!76k38346F=889K54c<,;3i6?68;%;2>7dc3g82h7?k;%326?7582c9m7>5$3;a>3b<3`3;6=4+28`92a==zj8926<4;:183!76k3kj7E<79:J25`=#:0h1m45+9081fa=i:0n1=h5+1009576=n;10;6)<6b;4f?>o68h0;6)<6b;33f>=hjm0;6)<6b;g7?>{e9=91=7=50;2x 47d2;237E<79:J25`=#:0h1>594$8396gb4473`8j6=4+28`92a==hjm0;6)<6b;g7?>{e9:21=7:50;2x 47d2hk0D?66;I32a>"51k0j56*61;0a`>h51m09<6*>138265=n:h0;6)<6b;4e?>o403:1(?7m:7g8?l77i3:1(?7m:02a?>iel3:1(?7m:d68?xd6<;0:6>4?:1y'54e=:120D?66;I32a>"51k094:5+9081fa=i:0n1><5+1009576=n190;6)<6b;4g?>iel3:1(?7m:d68?xd6;>0:694?:1y'54e=ih1C>574H03f?!4>j3k27)7>:3`g?k4>l3897)?>2;314>o5i3:1(?7m:7d8?l5?290/>4l56d98m46f290/>4l511`8?jdc290/>4l5e598yg7393;1?7>50z&25f<5011C>574H03f?!4>j383;6*61;0a`>h51m09?6*>138265=n:h0;6)<6b;4g?>o>83:1(?7m:7f8?jdc290/>4l5e598yg74=3;187>50z&25f0(4l56g98m6>=83.95o49e:9j55g=83.95o4>0c98kgb=83.95o4j4:9~f42728086=4?{%32g?4?02B9445G10g8 7?e2;2<7)7>:3`g?k4>l38>7)?>2;314>o5i3:1(?7m:7f8?l?7290/>4l56e98kgb=83.95o4j4:9~f454280?6=4?{%32g?gf3A8356F>1d9'6t$03`>7>?3A8356F>1d9'64l56e98m<6=83.95o49d:9lfa<72-82n7k;;:a567=93>1<7>t$03`>dg<@;227E?>e:&1=g219j6d<72-82n78i;:k0m;:ma`?6=,;3i6h:4;|`27`<62:0;6=u+10a96=><@;227E?>e:&1=g<50>1/5<4=be9m63-3:6?lk;o0:`?4f3-;:>7?=0:k1e?6=,;3i6;h4;h1;>5<#:0h1:h54i02b>5<#:0h1==l4;n`g>5<#:0h1i954}c3:b?7=;3:11b81<==O:130D219j6d<72-82n78k;:k:4?6=,;3i6;j4;n`g>5<#:0h1i954}c3:a?7=;3:11b81<==O:130D219j6d<72-82n78k;:k:4?6=,;3i6;j4;n`g>5<#:0h1i954}c3:6?7=<3:11b8be>N5001C=d?<,0;1>oj4n3;g>7b<,8;96<5<#:0h1:k54i2:94?"51k0=i65f11c94?"51k0:4<4290;w)?>c;0;<>N5001C=7>03-3:6?lk;o0:`?4b3-;:>7?=0:k1e?6=,;3i6;j4;h;3>5<#:0h1:i54ocf94?"51k0n865rb0;3>4<3290;w)?>c;cb?M4?12B:=h5+28`9e<=#1809ni5a28f96c=#9881=?>4i3c94?"51k0=j65f3983>!4>j30`83>!4>j3;;n65`be83>!4>j3o?76sm18a95?5=83:p(oj4n3;g>66<,8;96<5<#:0h1:i54i8294?"51k0=h65`be83>!4>j3o?76sm19g95?2=83:p(>3A;:i6*=9c8b=>">938ih6`=9e805>"69;0:>=5f2`83>!4>j307pl>9c82>6<729q/=>3A;:i6*=9c81<2=#1809ni5a28f977=#9881=?>4i3c94?"51k0=h65f9183>!4>j307pl>8b82>1<729q/=l10e<>n:18'6">938ih6`=9e800>"69;0:>=5f2`83>!4>j3o10e>650;&1=g<1m21b==o50;&1=g<68k10coj50;&1=g5<7s-;:o7<78:J1<<=O98o0(?7m:3:4?!?62;ho7c<6d;15?!76:3;9<6g=a;29 7?e2?n07d7?:18'6m10coj50;&1=g5<7s-;:o7on;I0;=>N69l1/>4l5a89'=4<5jm1e>4j5369'544=9;:0e?o50;&1=g<1n21b?54?:%0:f?0b32c:N69l1/>4l52958 <7=:kn0b?7k:2:8 475288;7dm10e4>50;&1=g<1l21dni4?:%0:f?c332wi=5851;694?6|,8;h6lo4H3::?M76m2.95o4n9:&:5?4el2d95i4<9:&257<6:91b>l4?:%0:f?0a32c847>5$3;a>3c<3`;;m7>5$3;a>46e32eih7>5$3;a>`2<3th:5:4>:283>5}#98i1>564H3::?M76m2.95o4=869'=4<5jm1e>4j53`9'544=9;:0e?o50;&1=g<1l21b5=4?:%0:f?0c32eih7>5$3;a>`2<3th:494>:583>5}#98i1ml5G29;8L47b3-82n7o6;%;2>7dc3g82h7=m;%326?7582c9m7>5$3;a>3`<3`936=4+28`92`=l4?:%0:f?0c32c2<7>5$3;a>3b<3fho6=4+28`9a1=j4$031>4473`8j6=4+28`92c==n99k1<7*=9c824g==zj82:6<4<:183!76k38346F=889K54c<,;3i6?68;%;2>7dc3g82h7=j;%326?7582c9m7>5$3;a>3b<3`3;6=4+28`92a==zj82;6<4<:183!76k38346F=889K54c<,;3i6?68;%;2>7dc3g82h7=i;%326?7582c9m7>5$3;a>3b<3`3;6=4+28`92a==zj8=?6<4;:183!76k3kj7E<79:J25`=#:0h1m45+9081fa=i:0n18=5+1009576=n;10;6)<6b;4f?>o68h0;6)<6b;33f>=hjm0;6)<6b;g7?>{e9>l1=7=50;2x 47d2;237E<79:J25`=#:0h1>594$8396gb4473`8j6=4+28`92a==hjm0;6)<6b;g7?>{e9>81=7:50;2x 47d2hk0D?66;I32a>"51k0j56*61;0a`>h51m0?>6*>138265=n:h0;6)<6b;4e?>o403:1(?7m:7g8?l77i3:1(?7m:02a?>iel3:1(?7m:d68?xd6?l0:6>4?:1y'54e=:120D?66;I32a>"51k094:5+9081fa=i:0n18>5+1009576=n190;6)<6b;4g?>iel3:1(?7m:d68?xd6?90:694?:1y'54e=ih1C>574H03f?!4>j3k27)7>:3`g?k4>l3>?7)?>2;314>o5i3:1(?7m:7d8?l5?290/>4l56d98m46f290/>4l511`8?jdc290/>4l5e598yg70l3;1?7>50z&25f<5011C>574H03f?!4>j383;6*61;0a`>h51m0?96*>138265=n:h0;6)<6b;4g?>o>83:1(?7m:7f8?jdc290/>4l5e598yg71m3;187>50z&25f4l56g98m6>=83.95o49e:9j55g=83.95o4>0c98kgb=83.95o4j4:9~f41d28086=4?{%32g?4?02B9445G10g8 7?e2;2<7)7>:3`g?k4>l3><7)?>2;314>o5i3:1(?7m:7f8?l?7290/>4l56e98kgb=83.95o4j4:9~f40d280?6=4?{%32g?gf3A8356F>1d9'6t$03`>7>?3A8356F>1d9'64l56e98m<6=83.95o49d:9lfa<72-82n7k;;:a53g=93>1<7>t$03`>dg<@;227E?>e:&1=g219j6d<72-82n78i;:k0m;:ma`?6=,;3i6h:4;|`23d<62:0;6=u+10a96=><@;227E?>e:&1=g<50>1/5<4=be9m63-3:6?lk;o0:`?2d3-;:>7?=0:k1e?6=,;3i6;h4;h1;>5<#:0h1:h54i02b>5<#:0h1==l4;n`g>5<#:0h1i954}c34=?7=;3:11b81<==O:130D219j6d<72-82n78k;:k:4?6=,;3i6;j4;n`g>5<#:0h1i954}c352?7=<3:11b8be>N5001C=d?<,0;1>oj4n3;g>1c<,8;96<5<#:0h1:k54i2:94?"51k0=i65f11c94?"51k0:4<4290;w)?>c;0;<>N5001C=7>03-3:6?lk;o0:`?2a3-;:>7?=0:k1e?6=,;3i6;j4;h;3>5<#:0h1:i54ocf94?"51k0n865rb046>4<3290;w)?>c;cb?M4?12B:=h5+28`9e<=#1809ni5a28f915=#9881=?>4i3c94?"51k0=j65f3983>!4>j30`83>!4>j3;;n65`be83>!4>j3o?76sm1bc95?5=83:p(oj4n3;g>07<,8;96<5<#:0h1:i54i8294?"51k0=h65`be83>!4>j3o?76sm1b;95?5=83:p(oj4n3;g>04<,8;96<5<#:0h1:i54i8294?"51k0=h65`be83>!4>j3o?76sm1c695?2=83:p(>3A;:i6*=9c8b=>">938ih6`=9e867>"69;0:>=5f2`83>!4>j307pl>c982>6<729q/=>3A;:i6*=9c81<2=#1809ni5a28f911=#9881=?>4i3c94?"51k0=h65f9183>!4>j307pl>b282>1<729q/=l10e<>n:18'6">938ih6`=9e862>"69;0:>=5f2`83>!4>j3o10e>650;&1=g<1m21b==o50;&1=g<68k10coj50;&1=g5<7s-;:o7<78:J1<<=O98o0(?7m:3:4?!?62;ho7c<6d;7;?!76:3;9<6g=a;29 7?e2?n07d7?:18'6m10coj50;&1=g5<7s-;:o7on;I0;=>N69l1/>4l5a89'=4<5jm1e>4j5589'544=9;:0e?o50;&1=g<1n21b?54?:%0:f?0b32c:N69l1/>4l52958 <7=:kn0b?7k:4c8 475288;7dm10e4>50;&1=g<1l21dni4?:%0:f?c332wi=lh51;694?6|,8;h6lo4H3::?M76m2.95o4n9:&:5?4el2d95i4:b:&257<6:91b>l4?:%0:f?0a32c847>5$3;a>3c<3`;;m7>5$3;a>46e32eih7>5$3;a>`2<3th:o94>:283>5}#98i1>564H3::?M76m2.95o4=869'=4<5jm1e>4j55b9'544=9;:0e?o50;&1=g<1l21b5=4?:%0:f?0c32eih7>5$3;a>`2<3th:mh4>:583>5}#98i1ml5G29;8L47b3-82n7o6;%;2>7dc3g82h7;k;%326?7582c9m7>5$3;a>3`<3`936=4+28`92`=l4?:%0:f?0c32c2<7>5$3;a>3b<3fho6=4+28`9a1=4473`8j6=4+28`92c==n99k1<7*=9c824g==zj8i96<4<:183!76k38346F=889K54c<,;3i6?68;%;2>7dc3g82h78?;%326?7582c9m7>5$3;a>3b<3`3;6=4+28`92a==zj8kj6<4;:183!76k3kj7E<79:J25`=#:0h1m45+9081fa=i:0n1:<5+1009576=n;10;6)<6b;4f?>o68h0;6)<6b;33f>=hjm0;6)<6b;g7?>{e9j;1=7=50;2x 47d2;237E<79:J25`=#:0h1>594$8396gb4473`8j6=4+28`92a==hjm0;6)<6b;g7?>{e9h21=7:50;2x 47d2hk0D?66;I32a>"51k0j56*61;0a`>h51m0=?6*>138265=n:h0;6)<6b;4e?>o403:1(?7m:7g8?l77i3:1(?7m:02a?>iel3:1(?7m:d68?xd5>90:654?:1y'54e=574H03f?!4>j3;;h6*81;18Lc0<,0;1>oj4n3;g>32<,8;96<5<#:0h1>n54i2494?"51k0=h65ff283>!4>j3;:?65`f383>!4>j3o?76g=9;29 7?e2;307d??c;29 7?e28:h7E?>d:9j55?=83.95o4>089K54b<3`;;m7>5$3;a>47332wi>8h51;:94?6|,8;h69l4H3::?M76m2.95o4>0e9'34<43Al=7)7>:3`g?k4>l3<>7)?>2;314>o5=3:1(?7m:3a8?l51290/>4l56e98mc5=83.95o4>1298kc4=83.95o4j4:9j6<<72-82n7<6;:k24f<72-82n7??c:J25a=0`83>!4>j3;:865rb37f>4c;6a?M4?12B:=h5+28`955b<,>;1?6Fi6:&:5?4el2d95i496:&257<6:91b>84?:%0:f?4d32c8:7>5$3;a>3b<3`l86=4+28`9545<3fl96=4+28`9a1==n99i1<7*=9c824f=O98n07d??9;29 7?e28:27E?>d:9j55g=83.95o4>1598yg42l3;147>50z&25f<3j2B9445G10g8 7?e28:o7)9>:29Kb3=#1809ni5a28f922=#9881=?>4i3794?"51k09o65f3783>!4>j307d<6:18'6l:18'6:983>5}#98i18o5G29;8L47b3-82n7??d:&45?5<@o<0(4?52cf8j7?c2?20(4l52b98m60=83.95o49d:9jb6<72-82n7?>3:9lb7<72-82n7k;;:k1=?6=,;3i6?74;h33g?6=,;3i6<>l;I32`>=n9931<7*=9c824<=O98n07d??a;29 7?e28;?76sm24`95?>=83:p(>3A;:i6*=9c824a=#?8087Eh9;%;2>7dc3g82h786;%326?7582c997>5$3;a>7e<3`9=6=4+28`92a==n:00;6)<6b;0:?>o68j0;6)<6b;33g>N69m10e<>6:18'64:9~f73f28036=4?{%32g?2e3A8356F>1d9'6">938ih6`=9e85e>"69;0:>=5f2483>!4>j38h76g<6;29 7?e2?n07dh<:18'66;I32`>=n99k1<7*=9c8251=57?58;294~"69j0?n6F=889K54c<,;3i6<>k;%52>6=On?1/5<4=be9m6k1/=<<51328m73=83.95o4=c:9j73<72-82n78k;:ke7?6=,;3i65<#:0h1>454i02`>5<#:0h1==m4H03g?>o6800;6)<6b;33=>N69m10e<>n:18'607pl=5982>=<729q/="09390Dk84$8396gb4473`8>6=4+28`96f==nn:0;6)<6b;327>=hn;0;6)<6b;g7?>o513:1(?7m:3;8?l77k3:1(?7m:02`?M76l21b==750;&1=g<6801C=t$03`>1d<@;227E?>e:&1=g<68m1/;<4<;Id5?!?62;ho7c<6d;4g?!76:3;9<6g=5;29 7?e2;i07d=9:18'6m10ek=50;&1=g<69:10ck<50;&1=g44?:%0:f?4>32c:5<#:0h1==74H03g?>o68h0;6)<6b;320>=zj;=?6<47:183!76k3>h7E<79:J25`=#:0h1==j4$6397>Na>2.2=7219j60<72-82n75<#:0h1:i54ig194?"51k0:=>54og094?"51k0n865f11a94?"51k0:=n:00;6)<6b;0:?>o4>3:1(?7m:7f8?l`4290/>4l51018?j`5290/>4l5e598m46d290/>4l511a8L47c32c:<44?:%0:f?7712B:=i54i02b>5<#:0h1=<:4;|`137<6210;6=u+10a90f=O:130D5$3;a>47432em>7>5$3;a>`2<3`;;o7>5$3;a>46d3A;:h65f11;94?"51k0:<45G10f8?l77i3:1(?7m:037?>{e:>;1=7650;2x 47d2=i0D?66;I32a>"51k0:7?=0:k11?6=,;3i6?m4;h0:>5<#:0h1>454i2494?"51k0=h65ff283>!4>j3;:?65`f383>!4>j3o?76g>0b83>!4>j3;;o6F>1e98m46>290/>4l511;8L47c32c:5<7s-;:o7:l;I0;=>N69l1/>4l511f8 27=;2Bm:6*61;0a`>h51m0<>6*>138265=n:<0;6)<6b;0`?>o513:1(?7m:3;8?l51290/>4l56e98mc5=83.95o4>1298kc4=83.95o4j4:9j55e=83.95o4>0b9K54b<3`;;57>5$3;a>46>3A;:h65f11c94?"51k0:=954}c05b?7=03:11b87g>N5001C=46c3-=:6>5Gf79'=4<5jm1e>4j5729'544=9;:0e?;50;&1=g<5k21b>44?:%0:f?4>32c8:7>5$3;a>3b<3`l86=4+28`9545<3fl96=4+28`9a1=0883>!4>j3;;56F>1e98m46f290/>4l51068?xd5>l0:654?:1y'54e=574H03f?!4>j3;;h6*81;18Lc0<,0;1>oj4n3;g>22<,8;96<5<#:0h1>n54i3;94?"51k09565f3783>!4>j307d??c;29 7?e28:h7E?>d:9j55?=83.95o4>089K54b<3`;;m7>5$3;a>47332wi>;j51;:94?6|,8;h69m4H3::?M76m2.95o4>0e9'34<43Al=7)7>:3`g?k4>l3=>7)?>2;314>o5=3:1(?7m:3a8?l4>290/>4l52898m60=83.95o49d:9jb6<72-82n7?>3:9lb7<72-82n7k;;:k24f<72-82n7??c:J25a=0`83>!4>j3;:865rb34`>4c;6`?M4?12B:=h5+28`955b<,>;1?6Fi6:&:5?4el2d95i486:&257<6:91b>84?:%0:f?4d32c957>5$3;a>7?<3`9=6=4+28`92a==n99i1<7*=9c824f=O98n07d??9;29 7?e28:27E?>d:9j55g=83.95o4>1598yg41j3;147>50z&25f<3k2B9445G10g8 7?e28:o7)9>:29Kb3=#1809ni5a28f932=#9881=?>4i3794?"51k09o65f2883>!4>j38276g<6;29 7?e2?n07dh<:18'6l:18'6:783>5}#98i18l5G29;8L47b3-82n7;=;%52>6=On?1/5<4=be9m65<#:0h1i954ig394?"51k0:3:11b87e>N5001C=04<,>;1?6Fi6:&:5?4el2d95i489:&257<6:91b>84?:%0:f?4d32c957>5$3;a>7?<3`9=6=4+28`92a==nn80;6)<6b;33g>=n;80;6)<6b;33=>=zj;9=6<49:183!76k3>j7E<79:J25`=#:0h19?5+7080?M`13-3:6?lk;o0:`?1f3-;:>7?=0:k11?6=,;3i6?m4;h0:>5<#:0h1>454i2494?"51k0=h65`f383>!4>j3o?76gi1;29 7?e28:h76g<1;29 7?e28:276sm22795?0=83:p(>3A;:i6*=9c866>"09390Dk84$8396gb4473`8>6=4+28`96f==n;?0;6)<6b;4g?>ia:3:1(?7m:d68?l`6290/>4l511a8?l56290/>4l511;8?xd5;=0:6;4?:1y'54e=574H03f?!4>j3?97)9>:29Kb3=#1809ni5a28f93f=#9881=?>4i3794?"51k09o65f2883>!4>j38276g<6;29 7?e2?n07bh=:18'6?50;&1=g<68010qo<<3;392?6=8r.:=n4;a:J1<<=O98o0(?7m:408 27=;2Bm:6*61;0a`>h51m0138265=n:<0;6)<6b;0`?>o513:1(?7m:3;8?l51290/>4l56e98kc4=83.95o4j4:9jb4<72-82n7??c:9j74<72-82n7??9:9~f755280=6=4?{%32g?2f3A8356F>1d9'6850;&1=g<1l21dj?4?:%0:f?c332cm=7>5$3;a>46d32c8=7>5$3;a>46>32wi>>?51;494?6|,8;h69o4H3::?M76m2.95o4:2:&45?5<@o<0(4?52cf8j7?c2>l0(4l52b98m7?=83.95o4=9:9j73<72-82n78k;:me6?6=,;3i6h:4;hd2>5<#:0h1==m4;h12>5<#:0h1==74;|`175<62?0;6=u+10a90d=O:130D5Gf79'=4<5jm1e>4j5819'544=9;:0e?;50;&1=g<5k21b>44?:%0:f?4>32c8:7>5$3;a>3b<3fl96=4+28`9a1=Na>2.2=7219j60<72-82n75<#:0h1:i54og094?"51k0n865ff083>!4>j3;;o65f3083>!4>j3;;565rb323>4<1290;w)?>c;6b?M4?12B:=h5+28`917=#?8087Eh9;%;2>7dc3g82h76=;%326?7582c997>5$3;a>7e<3`826=4+28`96<==hn;0;6)<6b;g7?>oa93:1(?7m:02`?>o493:1(?7m:02:?>{e9ol1=7850;2x 47d2=k0D?66;I32a>"51k0>>6*81;18Lc0<,0;1>oj4n3;g>=5<,8;96<5<#:0h1>n54i3;94?"51k09565f3783>!4>j307dh>:18'6:18'6fd82>3<729q/==n:00;6)<6b;0:?>o4>3:1(?7m:7f8?j`5290/>4l5e598mc7=83.95o4>0b98m67=83.95o4>0898yg7al3;1:7>50z&25f<3i2B9445G10g8 7?e2<80(:?53:Je2>">938ih6`=9e8;1>"69;0:>=5f2483>!4>j38h76g=9;29 7?e2;307d=9:18'6m10ck<50;&1=g5<7s-;:o7:n;I0;=>N69l1/>4l5539'34<43Al=7)7>:3`g?k4>l32=7)?>2;314>o5=3:1(?7m:3a8?l4>290/>4l52898m60=83.95o49d:9lb7<72-82n7k;;:ke5?6=,;3i6<>l;:k05?6=,;3i6<>6;:a5cd=93<1<7>t$03`>1g<@;227E?>e:&1=g<2:2.<=7=4Hg48 <7=:kn0b?7k:958 475288;7d<::18'67>5$3;a>`2<3`l:6=4+28`955e<3`9:6=4+28`955?<3th:jl4>:783>5}#98i18l5G29;8L47b3-82n7;=;%52>6=On?1/5<4=be9m65<#:0h1i954ig394?"51k0:3:11b87e>N5001C=04<,>;1?6Fi6:&:5?4el2d95i479:&257<6:91b>84?:%0:f?4d32c957>5$3;a>7?<3`9=6=4+28`92a==nn80;6)<6b;33g>=n;80;6)<6b;33=>=zj8l36<49:183!76k3>j7E<79:J25`=#:0h19?5+7080?M`13-3:6?lk;o0:`?>f3-;:>7?=0:k11?6=,;3i6?m4;h0:>5<#:0h1>454i2494?"51k0=h65`f383>!4>j3o?76gi1;29 7?e28:h76g<1;29 7?e28:276sm1g595?0=83:p(>3A;:i6*=9c866>"09390Dk84$8396gb4473`8>6=4+28`96f==n;?0;6)<6b;4g?>ia:3:1(?7m:d68?l`6290/>4l511a8?l56290/>4l511;8?xd6m?0:654?:1y'54e=574H03f?!4>j3;;h6*81;18Lc0<,0;1>oj4n3;g>=e<,8;96<5<#:0h1>n54i2494?"51k0=h65ff283>!4>j3;:?65`f383>!4>j3o?76g=9;29 7?e2;307d??c;29 7?e28:h7E?>d:9j55?=83.95o4>089K54b<3`;;m7>5$3;a>47332wi=i>51;494?6|,8;h6964H3::?M76m2.95o4:2:&45?5<@o<0(4?52cf8j7?c21n0(4l52b98m60=83.95o49d:9lb7<72-82n7k;;:k1=?6=,;3i6?74;hd2>5<#:0h1==m4;h12>5<#:0h1==74;|`264<6210;6=u+10a90g=O:130D850;&1=g<1l21bj>4?:%0:f?76;21dj?4?:%0:f?c332c957>5$3;a>7?<3`;;o7>5$3;a>46d3A;:h65f11;94?"51k0:<45G10f8?l77i3:1(?7m:037?>{e9lh1=7650;2x 47d2=h0D?66;I32a>"51k0:a3-;:>7?=0:k11?6=,;3i6?m4;h15>5<#:0h1:i54ig194?"51k0:=>54og094?"51k0n865f2883>!4>j38276g>0b83>!4>j3;;o6F>1e98m46>290/>4l511;8L47c32c:5<7s-;:o77l;I0;=>N69l1/>4l511:8 <7=:kn0(:?5e:l1=a<>82.:=?4=b99j22<72-82n7?k;o0:=?6<3`<36=4+28`95a=i:031=65f6883>!4>j3;o7c<69;08?l0f290/>4l51e9m6o<51;794?6|,8;h64m4H3::?M76m2.95o4>099'=4<5jm1/;<4;;o0:`??63-;:>75=h5100:76g99;29 7?e28n0b?76:398m3g=83.95o4>d:l1=<<432eih7>5$3;a>`2<3th9n>4>:483>5}#98i15n5G29;8L47b3-82n7??8:&:5?4el2.<=7;<;o0:`??53-;:>75=h5100:76g99;29 7?e28n0b?76:398m3g=83.95o4>d:l1=<<432eih7>5$3;a>`2<3th9n94>:483>5}#98i15n5G29;8L47b3-82n7??8:&:5?4el2.<=7??;o0:`??43-;:>75=h5100:76g99;29 7?e28n0b?76:398m3g=83.95o4>d:l1=<<432eih7>5$3;a>`2<3th9n84>:483>5}#98i15n5G29;8L47b3-82n7??8:&:5?4el2.<=7;<;o0:`??33-;:>75=h5100:76g99;29 7?e28n0b?76:398m3g=83.95o4>d:l1=<<432eih7>5$3;a>`2<3th9m54>:483>5}#98i15n5G29;8L47b3-82n7??8:&:5?4el2.<=7k4n3;g><3<,8;96?l7;h44>5<#:0h1=i5a28;94>=n>10;6)<6b;3g?k4>13;07d86:18'64752:9j2d<72-82n7?k;o0:=?5<3fho6=4+28`9a1=7;%;2>7dc3-=:6o5a28f9=3=#9881>o64i7594?"51k0:h6`=9883?>o103:1(?7m:0f8j7?>2810e;750;&1=g<6l2d9544=;:k5e?6=,;3i66==zj;h:6<4;:183!76k33j7E<79:J25`=#:0h1==64$8396gb<,>;1m6`=9e8:3>"69;09n55f6683>!4>j3;o7c<69;28?l0?290/>4l51e9m65<#:0h1i954}c3b7?7=<3:11b8:e>N5001C=46?3-3:6?lk;%52>4e4473`<<6=4+28`95a=i:031<65f6983>!4>j3;o7c<69;38?l0>290/>4l51e9m6099'=4<5jm1/;<4=8:l1=a<>12.:=?4>219j22<72-82n7?k;o0:=?6<3`<36=4+28`95a=i:031=65f6883>!4>j3;o7c<69;08?l0f290/>4l51e9m6099'=4<5jm1/;<4>9:l1=a<>i2.:=?4>219j22<72-82n7?k;o0:=?6<3`<36=4+28`95a=i:031=65`be83>!4>j3o?76sm26795?3=83:p(>3A;:i6*=9c824==#1809ni5+708;?k4>l33i7)?>2;314>o1?3:1(?7m:0f8j7?>2910e;650;&1=g<6l2d9544>;:k5=?6=,;3i67=h5100876amd;29 7?e2l>07pl>e682>0<729q/=">938ih6*81;;8j7?c20i0(4l51e9m65<#:0h1=i5a28;96>=n>h0;6)<6b;3g?k4>13907blk:18'6:49m6d:l1=<<732c=47>5$3;a>4bo1i3:1(?7m:0f8j7?>2:10coj50;&1=g5<7s-;:o77n;I0;=>N69l1/>4l511:8 <7=:kn0(:?51`9m6d:l1=<<732c=47>5$3;a>4biel3:1(?7m:d68?xd6:>0:684?:1y'54e=1j1C>574H03f?!4>j3;;46*61;0a`>"093;97c<6d;;e?!76:3;9<6g97;29 7?e28n0b?76:198m3>=83.95o4>d:l1=<<632c=57>5$3;a>4biel3:1(?7m:d68?xd5??0:684?:1y'54e=1j1C>574H03f?!4>j3;;46*61;0a`>"093>0b?7k:`28 475288;7d88:18'64750:9j2=<72-82n7?k;o0:=?7<3`<26=4+28`95a=i:031>65f6`83>!4>j3;o7c<69;18?jdc290/>4l5e598yg7f>3;187>50z&25f<>i2B9445G10g8 7?e28:37)7>:3`g?!162=l0b?7k:`38 475288;7d88:18'64750:9j2=<72-82n7?k;o0:=?7<3`<26=4+28`95a=i:031>65`be83>!4>j3o?76sm20695?3=83:p(>3A;:i6*=9c824==#1809ni5+708e?k4>l3k97)?>2;314>o1?3:1(?7m:0f8j7?>2910e;650;&1=g<6l2d9544>;:k5=?6=,;3i67=h5100876amd;29 7?e2l>07pl95;396?6=8r.:=n4j1:J1<<=O98o0(?7m:328L23<,>=1i95+9081fa=i:0n1m>5+10096g>=hjm0;6)<6b;g7?>{e:1?1=7<50;2x 47d2l;0D?66;I32a>"51k09<6F85:&43?c33-3:6?lk;o0:`?g33-;:>75<#:0h1i954}c333?7=:3:11b8f5>N5001C=76<@>?0(:95e59'=4<5jm1e>4j5a49'544=:k20e;850;&1=g<1l21dni4?:%0:f?c332wi==851;094?6|,8;h6h?4H3::?M76m2.95o4=0:J41>"0?3o?7)7>:3`g?k4>l3k=7)?>2;0a<>o1>3:1(?7m:7f8?jdc290/>4l5e598yg77=3;1>7>50z&25fo64i7494?"51k0=h65`be83>!4>j3o?76sm11695?4=83:p(>3A;:i6*=9c814>N0=2.<;7k;;%;2>7dc3g82h7o7;%326?4e02c=:7>5$3;a>3b<3fho6=4+28`9a1=4H678 21=m=1/5<4=be9m6t$03`>`7<@;227E?>e:&1=g<582B<96*87;g7?!?62;ho7c<6d;cb?!76:38i46g96;29 7?e2?n07blk:18'6=1i95+9081fa=i:0n1mo5+10096g>=hjm0;6)<6b;g7?>{e99:1=7<50;2x 47d2l;0D?66;I32a>"51k09<6F85:&43?c33-3:6?lk;o0:`?gd3-;:>75<#:0h1i954}c:e>4<5290;w)?>c;g2?M4?12B:=h5+28`965=O?<1/;:4j4:&:5?4el2d95i4nd:&257<5j11b:;4?:%0:f?0c32eih7>5$3;a>`2<3th3i7?52;294~"69j0n=6F=889K54c<,;3i6?>4H678 21=m=1/5<4=be9m67)98:d68 <7=:kn0b?7k:`d8 4752;h37d89:18'6m10coj50;&1=g"0?3o?7)7>:3`g?k4>l3h;7)?>2;0a<>o1>3:1(?7m:7f8?jdc290/>4l5e598yg>e28096=4?{%32g?c63A8356F>1d9'6">938ih6`=9e8a5>"69;09n55f6783>!4>j307pl7a;396?6=8r.:=n4j1:J1<<=O98o0(?7m:328L23<,>=1i95+9081fa=i:0n1n?5+10096g>=hjm0;6)<6b;g7?>{e000:6?4?:1y'54e=m81C>574H03f?!4>j38;7E9:;%54>`2<,0;1>oj4n3;g>g5<,8;96?l7;h45>5<#:0h1:i54ocf94?"51k0n865rb9:95?4=83:p(>3A;:i6*=9c814>N0=2.<;7k;;%;2>7dc3g82h7l;;%326?4e02c=:7>5$3;a>3b<3fho6=4+28`9a1=k;%52>a=#1809ni5a28f9f0=#9881=?>4i3794?"51k09o65f3783>!4>j30`83>!4>j3;:865`f383>!4>j3o?76g=9;29 7?e2;307d??c;29 7?e28:h7E?>d:9j55?=83.95o4>089K54b<3`;:?7>5$3;a>4743A;:h65rb07;>4<4290;w)?>c;;4?M4?12B:=h5+28`955><,0;1>oj4$6395g=i:0n1n;5+1009576h5100;76amd;29 7?e2l>07d87:18'64751:l25d<732wi=8851;194?6|,8;h6494H3::?M76m2.95o4>099'=4<5jm1/;<4>b:l1=a219j22<72-82n7?k;o0:=?6<3fho6=4+28`9a1=h5100:7c?>a;28?xd6==0:6>4?:1y'54e=1>1C>574H03f?!4>j3;;46*61;0a`>"093;i7c<6d;`;?!76:3;9<6g97;29 7?e28n0b?76:198kgb=83.95o4j4:9j2=<72-82n7?k;o0:=?71b8:3>N5001C=46?3-3:6?lk;%52>4d4473`<<6=4+28`95a=i:031<65`be83>!4>j3o?76g98;29 7?e28n0b?76:09m54g=821vn<;?:080>5<7s-;:o778;I0;=>N69l1/>4l511:8 <7=:kn0(:?51c9m6d:l1=<<732eih7>5$3;a>`2<3`<36=4+28`95a=i:031=6`>1`83?>{e9=o1=7=50;2x 47d20=0D?66;I32a>"51k0:<55+9081fa=#?80:n6`=9e8af>"69;0:>=5f6683>!4>j3;o7c<69;28?jdc290/>4l5e598m3>=83.95o4>d:l1=<<63g;:m7>4;|`20f<62:0;6=u+10a9=2=O:130D5$3;a>4b!4>j3;o7c<69;38j47f2910qo?;a;397?6=8r.:=n467:J1<<=O98o0(?7m:02;?!?62;ho7)9>:0`8j7?c2kn0(4l51e9m65$3;a>4b=zj89=6<4<:183!76k33<7E<79:J25`=#:0h1==64$8396gb<,>;1=o5a28f9f`=#9881=?>4i7594?"51k0:h6`=9883?>iel3:1(?7m:d68?l0?290/>4l51e9m6t$03`><1<@;227E?>e:&1=g<6811/5<4=be9'34<6j2d95i4mf:&257<6:91b::4?:%0:f?7c3g8257>4;n`g>5<#:0h1i954i7:94?"51k0:h6`=9882?k76i3:07pl>3382>6<729q/=">938ih6*81;3a?k4>l3i;7)?>2;314>o1?3:1(?7m:0f8j7?>2910coj50;&1=g5=7;%;2>7dc3-=:6f7<,8;96<5<#:0h1=i5a28;94>=hjm0;6)<6b;g7?>o103:1(?7m:0f8j7?>281e=1d9'64j5c39'544=9;:0e;950;&1=g<6l2d9544?;:ma`?6=,;3i6h:4;h4;>5<#:0h1=i5a28;95>h69h0;76sm19d95?5=83:p(>3A;:i6*=9c824==#1809ni5+7082f>h51m0h?6*>138265=n>>0;6)<6b;3g?k4>13:07blk:18'6;o32e?6<3th:4i4>:283>5}#98i15:5G29;8L47b3-82n7??8:&:5?4el2.<=7?m;o0:`?e33-;:>7?=0:k53?6=,;3i65==n>10;6)<6b;3g?k4>13;0b50z&25f<>?2B9445G10g8 7?e28:37)7>:3`g?!1628h0b?7k:b78 475288;7d88:18'64750:9lfa<72-82n7k;;:k54=i98k1<65rb0::>4<4290;w)?>c;;4?M4?12B:=h5+28`955><,0;1>oj4$6395g=i:0n1o;5+1009576h5100;76amd;29 7?e2l>07d87:18'64751:l25d<732wi=5951;194?6|,8;h6494H3::?M76m2.95o4>099'=4<5jm1/;<4>b:l1=a219j22<72-82n7?k;o0:=?6<3fho6=4+28`9a1=h5100:7c?>a;28?xd60<0:6>4?:1y'54e=1>1C>574H03f?!4>j3;;46*61;0a`>"093;i7c<6d;a;?!76:3;9<6g97;29 7?e28n0b?76:198kgb=83.95o4j4:9j2=<72-82n7?k;o0:=?71b8:3>N5001C=46?3-3:6?lk;%52>4d4473`<<6=4+28`95a=i:031<65`be83>!4>j3o?76g98;29 7?e28n0b?76:09m54g=821vn<9<:080>5<7s-;:o778;I0;=>N69l1/>4l511:8 <7=:kn0(:?51c9m6d:l1=<<732eih7>5$3;a>`2<3`<36=4+28`95a=i:031=6`>1`83?>{e9>;1=7=50;2x 47d20=0D?66;I32a>"51k0:<55+9081fa=#?80:n6`=9e8`f>"69;0:>=5f6683>!4>j3;o7c<69;28?jdc290/>4l5e598m3>=83.95o4>d:l1=<<63g;:m7>4;|`22c<62:0;6=u+10a9=2=O:130D5$3;a>4b!4>j3;o7c<69;38j47f2910qo?9d;397?6=8r.:=n467:J1<<=O98o0(?7m:02;?!?62;ho7)9>:0`8j7?c2jn0(4l51e9m65$3;a>4b=zj8;1=o5a28f9g`=#9881=?>4i7594?"51k0:h6`=9883?>iel3:1(?7m:d68?l0?290/>4l51e9m6t$03`><1<@;227E?>e:&1=g<6811/5<4=be9'34<6j2d95i4lf:&257<6:91b::4?:%0:f?7c3g8257>4;n`g>5<#:0h1i954i7:94?"51k0:h6`=9882?k76i3:07pl>6682>6<729q/=">938ih6*81;3a?k4>l3n;7)?>2;314>o1?3:1(?7m:0f8j7?>2910coj50;&1=g5=7;%;2>7dc3-=:6a7<,8;96<5<#:0h1=i5a28;94>=hjm0;6)<6b;g7?>o103:1(?7m:0f8j7?>281e=1d9'64j5d39'544=9;:0e;950;&1=g<6l2d9544?;:ma`?6=,;3i6h:4;h4;>5<#:0h1=i5a28;95>h69h0;76sm1``95?5=83:p(>3A;:i6*=9c824==#1809ni5+7082f>h51m0o?6*>138265=n>>0;6)<6b;3g?k4>13:07blk:18'6;o32e?6<3th:m44>:283>5}#98i15:5G29;8L47b3-82n7??8:&:5?4el2.<=7?m;o0:`?b33-;:>7?=0:k53?6=,;3i65==n>10;6)<6b;3g?k4>13;0b50z&25f<>?2B9445G10g8 7?e28:37)7>:3`g?!1628h0b?7k:e78 475288;7d88:18'64750:9lfa<72-82n7k;;:k54=i98k1<65rb0:1>4<4290;w)?>c;;4?M4?12B:=h5+28`955><,0;1>oj4$6395g=i:0n1h;5+1009576h5100;76amd;29 7?e2l>07d87:18'64751:l25d<732wi=hm51;794?6|,8;h64m4H3::?M76m2.95o4>099'=4<5jm1/;<4>1:l1=a219j22<72-82n7?k;o0:=?6<3`<36=4+28`95a=i:031=65f6883>!4>j3;o7c<69;08?l0f290/>4l51e9m6099'=4<5jm1/;<4219j22<72-82n7?k;o0:=?6<3`<36=4+28`95a=i:031=65f6883>!4>j3;o7c<69;08?l0f290/>4l51e9m6?:51;794?6|,8;h64m4H3::?M76m2.95o4>099'=4<5jm1/;<4;;o0:`?b>3-;:>7?=0:k53?6=,;3i65=h5100:76g99;29 7?e28n0b?76:398m3g=83.95o4>d:l1=<<432eih7>5$3;a>`2<3th:>44>:483>5}#98i15n5G29;8L47b3-82n7??8:&:5?4el2.<=784n3;g>ag<,8;96<5<#:0h1=i5a28;94>=n>10;6)<6b;3g?k4>13;07d86:18'64752:9j2d<72-82n7?k;o0:=?5<3fho6=4+28`9a1=7;%;2>7dc3-=:695a28f9`g=#9881=?>4i7594?"51k0:h6`=9883?>o103:1(?7m:0f8j7?>2810e;750;&1=g<6l2d9544=;:k5e?6=,;3i66==zj8k?6<4::183!76k33h7E<79:J25`=#:0h1==64$8396gb<,>;1=95a28f9`f=#9881=?>4i7594?"51k0:h6`=9883?>o103:1(?7m:0f8j7?>2810e;750;&1=g<6l2d9544=;:k5e?6=,;3i66==zj;;j6<4::183!76k33h7E<79:J25`=#:0h1==64$8396gb<,>;1=85a28f9`a=#9881=?>4i7594?"51k0:h6`=9883?>o103:1(?7m:0f8j7?>2810e;750;&1=g<6l2d9544=;:k5e?6=,;3i66==zj;;m6<4::183!76k33h7E<79:J25`=#:0h1==64$8396gb<,>;1?h5a28f9``=#9881=?>4i7594?"51k0:h6`=9883?>o103:1(?7m:0f8j7?>2810e;750;&1=g<6l2d9544=;:k5e?6=,;3i66==zj88=6<4;:183!76k33j7E<79:J25`=#:0h1==64$8396gb<,>;18:5a28f9`c=#9881=?>4i7594?"51k0:h6`=9883?>o103:1(?7m:0f8j7?>2810e;750;&1=g<6l2d9544=;:ma`?6=,;3i6h:4;|`1<7<62<0;6=u+10a9=f=O:130D4$031>4473`<<6=4+28`95a=i:031<65f6983>!4>j3;o7c<69;38?l0>290/>4l51e9m65<#:0h1i954}c0a3?7=<3:11b8:e>N5001C=46?3-3:6?lk;%52>137d?3`<<6=4+28`95a=i:031<65f6983>!4>j3;o7c<69;38?l0>290/>4l51e9m6<651;794?6|,8;h64m4H3::?M76m2.95o4>099'=4<5jm1/;<4219j22<72-82n7?k;o0:=?6<3`<36=4+28`95a=i:031=65f6883>!4>j3;o7c<69;08?l0f290/>4l51e9m6<751;794?6|,8;h64m4H3::?M76m2.95o4>099'=4<5jm1/;<4219j22<72-82n7?k;o0:=?6<3`<36=4+28`95a=i:031=65f6883>!4>j3;o7c<69;08?l0f290/>4l51e9m6i4?:381>6}#98i1>?5G29;8L47b3-3:6?lk;o0:`?c33-;:>7o64i7494?"51k0=h65`be83>!4>j3o?76sm2082>7<729q/={tjm0;6?uQbe9>642ft$03`>24<@;227E?>e:&1=g<582.2=7219j23<72-82n78k;:ma`?6=,;3i6h:4H3;b?>{e9:n1=7<50;2x 47d2>80D?66;I32a>"51k09<6*61;0a`>h51m0n46*>138265=n>?0;6)<6b;4g?>iel3:1(?7m:d68L7?f32wi=4;51;094?6|,8;h6:<4H3::?M76m2.95o4=0:&:5?4el2d95i4j9:&257<6:91b:;4?:%0:f?0c32eih7>5$3;a>`2<@;3j76sm16595?4=83:p(>3A;:i6*=9c814>">938ih6`=9e8fe>"69;0:>=5f6783>!4>j30D?7n;:a5f6=9381<7>t$03`>24<@;227E?>e:&1=g<582.2=7219j23<72-82n78k;:ma`?6=,;3i6h:4H3;b?>{e9ko1=7<50;2x 47d2>80D?66;I32a>"51k09<6*61;0a`>h51m0no6*>138265=n>?0;6)<6b;4g?>iel3:1(?7m:d68L7?f32wi=om51;094?6|,8;h6:<4H3::?M76m2.95o4=0:&:5?4el2d95i4jd:&257<6:91b:;4?:%0:f?0c32eih7>5$3;a>`2<@;3j76sm1cc95?4=83:p(>3A;:i6*=9c814>">938ih6`=9e8fa>"69;0:>=5f6783>!4>j30D?7n;:a5g>=9381<7>t$03`>24<@;227E?>e:&1=g<582.2=7219j23<72-82n78k;:ma`?6=,;3i6h:4H3;b?>{e9k<1=7<50;2x 47d2>80D?66;I32a>"51k09<6*61;0a`>h51m0m<6*>138265=n>?0;6)<6b;4g?>iel3:1(?7m:d68L7?f32wi=l?51;094?6|,8;h6:<4H3::?M76m2.95o4=0:&:5?4el2d95i4i1:&257<6:91b:;4?:%0:f?0c32eih7>5$3;a>`2<@;3j76sm2`a95?3=83:p(>3A;:i6*=9c824==#1809ni5+70876>h51m0m>6*>1381f==n>>0;6)<6b;3g?k4>13:07d87:18'64751:9j2<<72-82n7?k;o0:=?4<3`!4>j3o?76sm2`f95?2=83:p(>3A;:i6*=9c8b=>">938ih6`=9e8e7>"69;09n55f6683>!4>j307pl=ag82>0<729q/=">938ih6*81;a8j7?c2o>0(4l51e9m65<#:0h1=i5a28;96>=n>h0;6)<6b;3g?k4>13907blk:18'6l10e;650;&1=g<1n21b==o50;&1=g<68k10coj50;&1=g5<7s-;:o77l;I0;=>N69l1/>4l511:8 <7=:kn0(:?5279m6d:l1=<<732c=47>5$3;a>4bo1i3:1(?7m:0f8j7?>2:10coj50;&1=g5<7s-;:o77l;I0;=>N69l1/>4l511:8 <7=:kn0(:?5179m61/=<<51328m31=83.95o4>d:l1=<<732c=47>5$3;a>4bo1i3:1(?7m:0f8j7?>2:10coj50;&1=g5<7s-;:o7om;I0;=>N69l1/>4l5a89'=4<5jm1e>4j5f99'544=9;:0e;950;&1=g<1m21b:54?:%0:f?0a32c::l51;094?6|,;3i6?>4$03`><2<@8;n7c<6d;d:?l01290/>4l56e98kgb=83.95o4j4:9~f71f280?6=4?{%0:f?7702B:=h5G29;8 <7=:kn0(4j5f`9'544=9;:0e;950;&1=g<6l2d9544?;:k54=h5100976amd;29 7?e2l>07pl>e882>7<729q/>4l5219'54e=1=1C=cd=hjm0;6)<6b;g7?>{e9l21=7:50;2x 7?e28:37E?>e:J1<<=#1809ni5+10a9=g=#?80?j6`=9e8eg>"69;0:>=5f6683>!4>j3;o7c<69;28?l0?290/>4l51e9m65<#:0h1i954}c0;4?7=:3:1"69j0286F>1d9m65$3;a>`2<3th9;k4>:483>5}#:0h1==64H03f?M4?12.2=7m2.<=794n3;g>cc<,8;96<5<#:0h1=i5a28;94>=n>10;6)<6b;3g?k4>13;07d86:18'64752:9j2d<72-82n7?k;o0:=?5<3fho6=4+28`9a1=1b8:0>N69l1e>4j5fg9j23<72-82n78k;:ma`?6=,;3i6h:4;|`132<62:0;6=u+28`955><@8;n7E<79:&:5?4el2.:=n469:&45?5e3g82h7??0:&257<6:91b::4?:%0:f?7c3g8257>4;h4;>5<#:0h1=i5a28;95>=hjm0;6)<6b;g7?>{e:881=7<50;2x 7?e2;:0(5<#:0h1i954}c025?7=<3:17dc3-;:o77m;%52>1`=;%326?7582c=;7>5$3;a>4bo113:1(?7m:0f8j7?>2;10coj50;&1=g5<7s-82n7?0;6)<6b;4g?>iel3:1(?7m:d68?xd59<0:684?:1y'6">938ih6*>1b8:`>"093;m7c<6d;330>"69;0:>=5f6683>!4>j3;o7c<69;28?l0?290/>4l51e9m65<#:0h1=i5a28;97>=hjm0;6)<6b;g7?>{e:8i1=7<50;2x 7?e2;:0(5<#:0h1i954}c02f?7==3:17dc3-;:o77j;%52>079;%326?7582c=;7>5$3;a>4bo113:1(?7m:0f8j7?>2;10e;o50;&1=g<6l2d9544<;:ma`?6=,;3i6h:4;|`164<62;0;6=u+28`965=#98i1595G10g8j7?c28:<7d89:18'6m10coj50;&1=g5<7s-82n7??8:J25`=O:130(4?52cf8 47d20o0(:?5519m64l51e9m65<#:0h1=i5a28;96>=n>h0;6)<6b;3g?k4>13907blk:18'6<2B:=h5a28f955?=hjm0;6)<6b;g7?>{e9;91=7;50;2x 7?e28:37E?>e:J1<<=#1809ni5+10a9=`=#?80?7c<6d;33e>"69;0:>=5f6683>!4>j3;o7c<69;28?l0?290/>4l51e9m65<#:0h1=i5a28;97>=hjm0;6)<6b;g7?>{e:j0:6<4?:1y'6m1/5>4n2:l1=a<68k1bio4?:%0:f?ce32wi:94>:083>5}#:0h1io5G10g8L26<,>=1i95+9284e>"0>33m7c=n:99m55`==91e>4j511a8k`d=83.95o4jb:9~f2`=93;1<7>t$3;a>`d<@8;n7E9?;%54>`2<,091m<5a28f955b=zj1:1=7?50;2x 7?e2lh0D0(4=5a19m64j51028k`d=83.95o4jb:9~f=5=93;1<7>t$3;a>`d<@8;n7E9?;%54>`2<,091n55a28f9547=zj1>1=7?50;2x 7?e2lh0D0(4=5b69m64j51068k`d=83.95o4jb:9~fc1=93;1<7>t$3;a>`d<@8;n7E9?;%54>`2<,091:>5+778:b>h4i320b<>i:428j7?c28;>7bkm:18'65<7s-82n7km;I32a>N082.<;7k;;%;0>2?<,><15k5a3`8;?k77n3?;7c<6d;322>ibj3:1(?7m:d`8?xda13;1=7>50z&1=g<`0g864>h51m0:=:5`ec83>!4>j3oi76smf`82>4<729q/>4l5ec9K54c<@>:0(:95e59'=6<2k2.<:77i;o1b>==i99l19=5a28f954>=zjoh1=7?50;2x 7?e2lh0D0(4=55e9'33<>n2d8m764n02e>065<#:0h1io54}cd`>4<6290;w)<6b;ga?M76m2B<<6*87;g7?!?42;=0(:859g9m7d5$3;a>`d<3thmh7?51;294~"51k0nn6F>1d9K35=#?>0n86*63;14?!1120l0b>o58:l24c<282d95i4>1c9lag<72-82n7km;:abc<6280;6=u+28`9ag=O98o0D:>4$659a1=#1:0??6*86;;e?k5f211e==h5519m65<7s-82n7km;I32a>N082.<;7k;;%;0>2d<,><15k5a3`8;?k77n3?;7c<6d;32`>ibj3:1(?7m:d`8?xu5k3:1?vP=c:?1`?01348h6hl4}r0g>5<5mr7:io4=5:?264<5=27:h=4=5:?2a3<5=27:j:4=5:?2b=<5=27:j44=5:?2bd<5=27:jo4=5:?2bf<5=27:ji4=5:?2b`<5=27:jk4=5:?145<5=279>k4=5:?175<5=279?<4=5:?177<5=279?>4=5:?171<5=279?84=5:?173<5=279?:4=5:?17=<5=279:o4=5:?12f<5=279:i4=5:?12`<5=279:k4=5:?135<5=279;<4=5:?137<5=279;>4=5:?131<5=2799:4=5:?11=<5=279944=5:?11d<5=2799o4=5:?11f<5=2799i4=5:?11`<5=2799k4=5:?125<5=27:h<4=5:?1`?dc3ty=87>53z\50>;1=3ho708;:d`8yv1a2908wS9i;<:;>gb<5>l1io5rs9294?5|V1:01575be9><55<4sW28706l:cf89=5=mk1v5:50;1xZ=2<51n1ni52858ff>{t0<0;6>uQ849><`02lh0q~oj:1803~;50h0>m63>a980<>;6ih08463>ab80<>;6j908463>6481e>;6?109m63>6780<>;6>108463>6`80<>;6>j08463>6d80<>;6?908463>7380<>;6?=08463>8281e>;61?09m63>8580<>;60?08463>8980<>;60h08463>8b80<>;60l08463>9180<>;61;08463>3181e>;6;l09m63>3080<>;6;:08463>3480<>;6;>08463>3980<>;6;008463>3`80<>;6;k08463>4981e>;6=k09m63>4880<>;64e80<>;65080<>;6=:08463>5480<>;6=>084637f;45?8>b2?<015j5679>273n789;<:b>30<5131:;5289852>;5im0=463=b185<>{tio0;6?u226792<=::>h1ni5rsc294?`|5;2i6h;4=0ga>60<588:6>84=0g5>60<58k36?o4=0a2>7g<58kn6>64=0ce>6><58h96>64=0`0>6><58h?6>64=046>6><58286>64=013>6><58>36>64}r`2>5<5s4;957lk;<317>52z?15d<1i279=n4md:pf6<72;q6>677=jm1vo:50;0x94412kn01<<<:7c8yvd22909w0<>8;4b?846>3ho7p}m6;296~;6m>0=563>e88a`>{tj00;6?u220g9fa=::8l1:45rscc94?4|5;8?6oj4=336>3>5<4sWl270??2;`g?8`>2lh0q~hn:180[`f34;;?7lk;`d5<4sWlo70??6;`g?8`c2lh0q~hi:180[`a34;;;7lk;`d52z?26457>=jm1v<<<:181875130;6?u21359fa=:9;31:45rs00b>5<4s4;o=7=9;<3ff?`434;no7lk;|q26g<72;q6=i>5379>57`=jm1v<5<4s4;8<7lk;<305?4f34;8j7?5be9>565=:h16=9>52`9~w4552908w0?<2;`g?87493;;m63>3g8:4>{t9:91<7=t=010>gb<589>6?o4=062>7g53z?2714>0`9>516=191v<=::180874=3ho70?<7;0b?873:38j7p}>3783>6}:9:<1ni52127955g<58>:64>4}r303?6=;r7:?:4md:?27=<5i27:8>4=a:p56>=839p1<=7:cf8945>2;k01<:;:3c8yv7413:1?v3>388a`>;6;h09m63>4481e>{t9:k1<7=t=01b>gb<589i6?o4=065>7g52z?27gu215:9fa=:9=31>l5214a96d=z{8>26=4<{<37=?dc34;?n751g=jm16=97511c8943d20:0q~?;b;297~;64e81e>;6=l09m6s|15a94?5|58>h6oj4=06a>46f34;>h77?;|q20a<72:q6=9j5be9>51`=:h16=8h52`9~w42b2908w0?;e;`g?873l3;;m63>5d8:4>{t9=l1<7=t=06e>gb<58?:6?o4=043>7g<7>53z?2150`9>50`=191v<;>:18087293ho70?:3;0b?871938j7p}>5383>6}:9<81ni52143955g<58<;64>4}r367?6=;r7:9>4md:?210<5i27::?4=a:p502=839p1<;;:cf8943428:j70?91;;3?xu6=<0;6>u21479fa=:9<=1>l5217196d=z{8?=6=4<{<362?dc34;>97??a:?227<>82wx=8950;0x94302kn01<8;:3c8yv7203:1?v3>598a`>;6=>0:2;k0q~?96;297~;6>?0ih63>6981e>;6?h09m6s|17594?5|58<<6oj4=045>46f34;<577?;|q22=<72:q6=;65be9>53g=:h16=:l52`9~w40>2908w0?99;`g?87103;;m63>7`8:4>{t9?k1<7=t=04b>gb<587g53z?22g0`9>52d=191v<8l:180871k3ho70?9e;0b?870l38j7p}>6e83>6}:9?n1ni5217a955g<58=h64>4}r35a?6=;r7::h4md:?235<5i27:;h4=a:p53`=839p1<8i:cf8940b28:j70?8d;;3?xu6?90;6>u21629fa=:9>81>l5216d96d=z{8=:6=4<{<345?dc34;<<7??a:?23`<>82wx=:<50;1x94152kn01<9;:3c894>72;k0q~?83;297~;6?:0ih63>73824d=:9>l15=5rs057>5<5s4;<87lk;<3;5?4f3ty:;84?:2y>523=jm16=::511c894>720:0q~?86;297~;6><0:=1ni5rs0:1>5<5s4;3>7lk;<3;5??73ty:4>4?:2y>5=5=jm16=5:52`9>5<1=:h1v<6;:18087?<3ho70?76;0b?87>038j7p}>8483>6}:91?1ni52196955g<583<64>4}r3;2?6=;r7:4;4md:?2<=<5i27:544=a:p5=1=839p1<68:cf894>128:j70?68;;3?xu6010;6>u219:9fa=:91k1>l5218c96d=z{8226=4<{<3;=?dc34;347??a:?2=<<>82wx=5o50;1x94>f2kn01<6l:3c894?e2;k0q~?7b;297~;60k0ih63>8`824d=:90k15=5rs0:`>5<4s4;3o7lk;<3;a?4f34;2o75=e=99k01<7m:828yv7?m3:1?v3>8d8a`>;61909m63>9e81e>{t91l1<7=t=0:e>gb<582n6<>n;<3:g??73ty:5=4?:2y>5<6=jm16=4<52`9>5:18087>93ho70?60;33e>;61m02<6s|18094?4|58396oj4=0;e>7g53z?2=60`9>5978:4>;61<0ih6s|1`294?4|58k;6oj4=0;e><653z?2e=2kn01a`83>6}:9hk1ni521`a96d=:9j91>l5rs0ca>5<4s4;jn7lk;<3be?77i27:o?460:p5de=839p1ae8a`>;6ij0:5d`=jm16=o>52`9>5f0=:h1vb083>6}:9k;1ni521c2955g<58i=64>4}r3a6?6=;r7:n?4md:?2f6<5i27:o54=a:p5g5=839p1v3>b58a`>;6kh09m6s|1c794?5|58kn6<>n;<3`0??734;i:7lk;|q2f2<72:q6=lh511c894e220:01b3824d=:9j=15=521cc9fa=z{8hi6=4<{<3a7?77i27:o5460:?2ffbg83>7}:9jk15=521b29fa=z{8ii6=4<{<3b3?0f34;j9786;<3b2?dc3ty:on4?:5y>620=jm16>:;56`9>5`1=>h16><;56`9~w4ec2909w0?=7;4b?846:3ho7p}>cd83>1}:9981:;52111923=:9m:1j?52116923=z{8im6=49{<334?0134;;=789;<331?0134;;:789;<333?0134;o=7h=;|q2`7<72;q6>;l5379>5<0=jm1v5<5s48>47=9;<34=?dc3ty:h;4?:3y>63b=;?16=465be9~w4b02909w0<:9;15?870i3ho7p}>d983>7}::?o1?;5218;9fa=z{8n26=4={<06e?5134;;h5379>55<5s48>o7=9;<34`?dc3ty:hh4?:3y>627=;?16=4m5be9~w4ba2909w0<:d;15?870m3ho7p}>e183>7}::>81?;5218f9fa=z{8o:6=4={<06a?5134;:=5379>55<5s48=<7=9;<3;5?dc3ty:i;4?:3y>5`0=n;16>5;5679~w4c02909w0?j6;d0?87b?3ho7p}>ec83>6}::h<19l522`791d=:9lh1j?5rs0gg>5<5s4;m;7h=;<0b3ty:ih4?:3y>5c>=n;16>l656`9~w4ca2908w0?i9;d1?84f03<<70u21gc9b7=::h21:5522`a92==z{8l:6=4<{<3ef?`5348j5787;<0bg?0f3ty:j?4?:2y>5ce=n;16>l75689>6de=>01v3?52z?2bc?:g0897d728:j7p}=0083>7}:9o=1?;5212g9fa=z{;:96=4={<3e516=jm1v?>;:18187ai39=70?;1;`g?xu58<0;6?u21g`973=:9=81ni5rs325>5<5s4;mo7=9;<377?dc3ty9<:4?:3y>5cb=;?16=9:5be9~w76?2909w0?ie;15?873=3ho7p}=0883>7}:9ol1?;521549fa=z{;:j6=4={<034?5134;?;7lk;|q14g<72:q6=>9511c8942520:01:cf8yv47k3:1?v3>39824d=:9=915=521`09fa=z{;:o6=4<{<30=?77i27:89460:?2e6=k50;1x945f28:j70?;5;;3?87f<3ho7p}=0g83>6}:9:h1==o4=065><6<58k>6oj4}r024?6=:r7:8:460:?2e2<:50;0x97732kn01??::7;8yv46=3:1:v3>eb85e>;6:m0=563>2d85e>;5900=m63=148a`>;59?0=:6s|20594?d|5;;36oj4=0d4>7?<58l36?74=0d:>7?<58lj6?74=0da>7?<58lh6?74=0dg>7?<58ln6?74=0de>7?<5;:;6?74}r022?30q~<>f;291~;6mj0=563=1g8a`>;5910=463=1885<>;6:o0:5<5s48<:78n;<0484?:3y>67`=n;16>lo5689~w7412909w0<<0;d1?84fi36}:::;1j?522`c922=::k91:45rs30;>5<4s488>7h=;<0be?0?348i?787;|q16<<72:q6>>=5f39>6g4=>h16>o=5669~w74f2908w0<<4;d1?84e:3<270u22279b7=::k81:5522c6922=z{;8h6=4<{<002?`5348i>788;<0a0?0f3ty9>i4?:2y>661=n;16>o:5699>6g3=>11v?7}::;l1?;5214`9fa=z{;9j6=4={<004?5134;>o7lk;|q17g<72;q6>>?5379>50b=jm1v?=l:181844:39=70?:e;`g?xu5;m0;6?u2221973=:95<5s48887=9;<354?dc3ty9?k4?:3y>663=;?16=;?5be9~w7272909w0<<6;15?871:3ho7p}=4083>7}:::=1?;521719fa=z{;>96=4={<0050g=>?1v?:;:18187d:3ho70?;a;44?xu5<<0;6?u21b19fa=:9=i1::5rs365>5<5s4;h87lk;<37a?003ty98:4?:3y>5f3=jm16=8>5669~w72?2909w0?l6;`g?872:3<<7p}=4883>7}:9j=1ni52146922=z{;>j6=4={<3`:788;|q10g<72;q6=n75be9>50>=>>1v?:l:18187di3ho70?94;;3?xu55<0s48>47h=;<306?0034;=;788;<0;6?0f348:=788;<014?0034;9?787;|q10c<721q6>875f39>62c=>h16=>:5669>53?=>>16>64d=>116>?>5689>575=>01v?;?:18;842i3l970<8e;4:?874>3<<70?9b;44?875>3<<70<>1;4:?846j3<<70<=0;4;?xu5=80;6nu224`9b7=:9h91:5521`092==::>o1:552135922=:9h<1:45217f922=:9h>1:l521`3923=:9;<1:45220`92d=::;:1:l5rs371>5o7h=;<3b7?0>34;j>788;<04a?0034;j:788;<35b?0034;j8787;<312?0?348:i78n;<31=?0?348:m786;|q116<72hq6>8j5f39>5d5=>>16=?95699>5d0=>116=:?5669>5d2=>016>57?=>>16>62`=>h1v?;;:18b842m3l970?n7;44?87f=3<<70?83;44?846m3<370?=8;44?87f<3<<70<>f;4;?840n3<370<>b;4:?xu5=<0;6ou224d9b7=:9h=1:4521`792==:9>?1::521da922=:9;21:55220:922=::831::5213f922=:9;o1:55226d92<=z{;?=6=47{<054?`534;j;787;<3;6?0034;94786;<02b?00348:i788;<02e?00348;l5f39>642=>016=l75669>5<3=>?16>:;5669>5`1=>>1v?8=:185841k3l970<85;4;?87b?3<370<>4;4b?87?=3<<70?nb;44?xu5>:0;6;u227f9b7=::8>1::52195922=:9hn1::5226c92<=:9l21::5rs347>5<1s48=i7h=;<020?0?34;35788;<3a2?0134863`=n;16>:85699>5=d=>>16=o65679~w701290>w0<80;d1?87?l3<<70?m1;44?845<3<370<87;4;?xu5>>0;68u22639b7=:91l1::52236922=:9kk1:;52265922=z{;<36=4:{<046?`5348<:786;<3:5?0034898786;<3ag?013ty9:44?:4y>625=n;16>:85669>5<5=>>16>?:56`9>5gc=>?1v?8n:185840<3l970?n0;44?87d83<=70<8a;4;?87b03<270<>5;44?xu5?<0;6ou227`9b6=::?i1j>5227f9b6=::?o1j>5227d9b6=::>:1j>522639b6=::>81j>522619b6=::>>1j>522679fa=z{;=o6=4>5z?12g<51279:n4=9:?12a<51279:h4=9:?12c<51279;=4=9:?134<51279;?4=9:?136<51279;94=9:?112:k50;0x971b2kn01?6=:7;8yv40n3:1>v3=83853>;5090ih6s|29694?5|V;2?70<75;`g?84?<3oi7p}=a283>6}::h?1j?5213f92==:9;o1::5rs3c7>5<5s48j:7h=;<3g5?77i2wx>l950;0x97g12:<01?l>:cf8yv4f03:1>v3=a98a`>;5i00=m6s|2`;94?4|5;k26oj4=3ce>3>52z?1ed{t:ho1<7=t=3`2>31<5;h<6;94=3`3>gb52z?1ec{t:k>1<7gb<5;h>6;o4}r0a1?6=;r79n84md:?1f4<10279n:498:p6g0=838p1?o::24897d02kn0q~<8c;296~;5?h0ih63=7c852>{t9lk1<7gb<58o26;84}r0;5?6=:r79;k4md:?1<5<1>2wx>:750;0x97102kn01?97:748yv46;3:1>v3=108a`>;59;0=:6s|20f94?4|5;;i6oj4=33`>307>52z?165<496:p573=838p1<<<:cf894432?<0qp`:7g83>7}O98o0Ehl51z39y_3>28q2?7sa28f94>{i=1:1<7l3;0qc;71;295~N69l1Bio4>{38~^0?=5<6sA;:i6Gjb;3x6?{]=00:w4=5}o0:`?56=5rn4:0>5<6sA;:i6Gjb;3x6?{]=00:w4=5}o0:`?26=5rn4:7>5<6sA;:i6Gjb;3x6?{]=00:w4=5}o0:`?36=5rn4:6>5<6sA;:i6Gjb;3x6?{]=00:w4=5}o0:`?06=5rn4:5>5<6sA;:i6Gjb;3x6?{]=00:w4=5}o0:`?16=5rn4:4>5<6sA;:i6Gjb;3x6?{]=00:w4=5}o0:`?>6=5rn4:;>5<6sA;:i6Gjb;3x6?{]=00:w4=5}o0:`??6=5rn4::>5<6sA;:i6Gjb;3x6?{]=00:w4=5}o0:`?g6=5rn4:b>5<6sA;:i6`=9e8a?k76=3:0qc;7b;395~N69l1e>4j5c:&1=2<5?j1vb86l:182M76m2d95i4k;o321?751zJ25`=i:0n1i6sa59g95?7|@8;n7c<6d;d8 7?02;;o7p`:8g82>4}O98o0b?7k:028 7?02;897p`:9183>4}O98o0b?7k:038yk3>93;1=vF>1d9m64952078yk3>:3;1=vF>1d9m64951dc8yk3>;3:1=vF>1d9m65:m1<3=83;pD4}52tP>57:t92842?5f28:m6p`=9e822>{i=0<1<7?tH03f?Lce28q96pT:9;6x=6<0>39j6<>i:|l1=a<6?2we94950;3xL47b3@oi6xh51m0:46sa58:94?7|@8;n7Dkm:0y1>x\213>p5>486;1b>46a2td95i4>9:m14}52tP>57:t92842?5f28:m6p`=9e82e>{i=0k1<7?tH03f?Lce28q96pT:9;6x=6<0>39j6<>i:|l1=a<6j2we94l50;3xL47b3@oi6xh51m0:o6sa58a94?7|@8;n7Dkm:0y1>x\213>p5>486;1b>46a2td95i4>d:m15h4?:0yK54c{I32a>h51m09>6sa5`094?7|@8;n7c<6d;00?xh2i:0;60qc;n4;295~N69l1e>4j5249~j0g2290:wE?>e:l1=a<5>2we9l850;3xL47b3g82h7<8;|l6e2<628qC=7><,;3<6?:j;|l6e=<728qC=7?l5+285961`51zJ25`=i:0n1>o5rn4ca>4<6sA;:i6`=9e81g>"51>099=5rn4c`>5<6sA;:i6`=9e81`>{i=hn1<7?tH03f?k4>l38n7p`:ad83>4}O98o0b?7k:3d8yk3fn3:1=vF>1d9m6n?4?:0yK54c=4}o7a7?6=9rB:=h5a28f971=zf{I32a>h51m0896*=968101=zf6=4>{I32a>h51m08:6sa5c495?7|@8;n7c<6d;14?!4>?38?96sa5c594?7|@8;n7c<6d;1;?xh2j10:64j53c9'6<1=:==0qc;mb;295~N69l1e>4j53b9~j0dd280:wE?>e:l1=a<4l2.95:4=499~j0dc290:wE?>e:l1=a<4m2we9ok51;3xL47b3g82h7=i;%0:3?4312we9oh50;3xL47b3g82h7:?;|l6g5<628qC=17<,;3<6?:n;|l6g4<728qC=147?51zJ25`=i:0n18>5+285961d51zJ25`=i:0n1895rn4a7>5<6sA;:i6`=9e871>{i=j?1<7?tH03f?k4>l3>=7p`:c782>4}O98o0b?7k:558 7?02;>n7p`:c683>4}O98o0b?7k:5:8yk3d03;1=vF>1d9m649525d8yk3d13:1=vF>1d9m6oh4>:0yK54c7353td>ok4?:0yK54c4}o7g4?7=9rB:=h5a28f914=#:0=1>8=4}o7g5?6=9rB:=h5a28f917=zf{I32a>h51m0>?6*=968111=zf{I32a>h51m0>86sa5e695?7|@8;n7c<6d;76?!4>?38>96sa5e794?7|@8;n7c<6d;75?xh2l?0:60;64j5589~j0b>280:wE?>e:l1=a<2i2.95:4=639~j0bf290:wE?>e:l1=a<2j2we9il51;3xL47b3g82h7;l;%0:3?41;2we9im50;3xL47b3g82h7;k;|l6`a<628qC=0c<,;3<6?8;;|l6``<728qC=0`51zJ25`=i:0n1:<5rn4g2>4<6sA;:i6`=9e856>"51>09:;5rn4g1>5<6sA;:i6`=9e857>{i=l91=7?tH03f?k4>l3{i=l>1<7?tH03f?k4>l3<>7p`:e482>4}O98o0b?7k:748 7?02;<37p`:e783>4}O98o0b?7k:758yk3b?3;1=vF>1d9m611/>49527;8yk3b03:1=vF>1d9m601vb8k6:082M76m2d95i49a:&1=2<5>h1vb8kn:182M76m2d95i49b:m1`d=93;pDii4>:0yK54c7053td>ih4?:0yK54c;=4}o7e4?6=9rB:=h5a28f934=zf{I32a>h51m0<>6sa5g094?7|@8;n7c<6d;50?xh2n:0:6>0(?78:345?xh2n=0;6?0qc;i5;295~N69l1e>4j5779~j0`1290:wE?>e:l1=a<0?2we9k950;3xL47b3g82h797;|l6b=<728qC=2?51zJ25`=i:0n1;l5rn4db>5<6sA;:i6`=9e84f>{i=oh1<7?tH03f?k4>l3=h7p`:fb83>4}O98o0b?7k:6f8yk3al3:1=vF>1d9m673-82;7<>3:m256=83;pD63td=<<4?:0yK54c{I32a>h51m0386sa61694?7|@8;n7c<6d;:6?xh18<0;64j5869~j360290:wE?>e:l1=a=g51zJ25`=i:0n14o5rn72a>5<6sA;:i6`=9e8;g>{i>9i1<7?tH03f?k4>l32o7p`90e83>4}O98o0b?7k:9g8yk07m3:1=vF>1d9m6i:182M76m2d95i460:m246=83;pD{I32a>h51m0286sa60694?7|@8;n7c<6d;;6?xh19<0;66;295~N69l1e>4j5969~j370290:wE?>e:l1=a<>02we:<650;3xL47b3g82h776;|l55<<728qC=51zJ25`=i:0n15o5rn73a>5<6sA;:i6`=9e8:g>{i>8i1<7?tH03f?k4>l33o7p`91e83>4}O98o0b?7k:8g8yk06m3:1=vF>1d9m6<4?:0yK54c{I32a>h51m0j86sa63694?7|@8;n7c<6d;c6?xh1:<0;64j5a69~j340290:wE?>e:l1=adg51zJ25`=i:0n1mo5rn70a>5<6sA;:i6`=9e8bg>{i>;i1<7?tH03f?k4>l3ko7p`92e83>4}O98o0b?7k:`g8yk05m3:1=vF>1d9m6{I32a>h51m0i86sa62695?7|@8;n7c<6d;`6?xh1;<0;64j5b69~j350290:wE?>e:l1=a650;3xL47b3g82h7l6;|l57<<628qC=gg<,;3<6?96;|l57d<728qC=gd51zJ25`=i:0n1nn5rn71`>5<6sA;:i6`=9e8a`>{i>:n1<7?tH03f?k4>l3hn7p`93d83>4}O98o0b?7k:cd8yk04n3:1=vF>1d9m6?6=4>{I32a>h51m0h96sa65794?7|@8;n7c<6d;a5?xh14j5c99~j32?290:wE?>e:l1=afd51zJ25`=i:0n1on5rn76`>5<6sA;:i6`=9e8``>{i>=n1<7?tH03f?k4>l3in7p`94d83>4}O98o0b?7k:bd8yk03n3;1=vF>1d9m6:0yK54c{I32a>h51m0o96sa64795?7|@8;n7c<6d;f5?xh1=?0:64j5d99~j33?290:wE?>e:l1=aadn7?51zJ25`=i:0n1hn5rn77`>4<6sA;:i6`=9e8g`>{i>l3nn7p`95d82>4}O98o0b?7k:ed8yk02n3;1=vF>1d9m6:0yK54c{I32a>h51m0n96sa67795?7|@8;n7c<6d;g5?xh1>?0:64j5e99~j30?280:wE?>e:l1=a`d5<6sA;:i6`=9e8f`>{i>?n1<7?tH03f?k4>l3on7p`96d83>4}O98o0b?7k:dd8yk01n3;1=vF>1d9m64952938yk0083:1=vF>1d9Jag<6s;0vV8754z;0>20=;h0:c751zJ25`=i:0n1j?5rn751>5<6sA;:i6`=9e8e7>{i>>91<7?tH03f?k4>l3l?7p`97583>4}O98o0b?7k:g78yk00=3:1=vF>1d9m6{I32a>h51m0mn6sa66`94?7|@8;n7c<6d;d`?xh1?j0;64j5fd9~j31b290:wE?>e:l1=a7?51zl1=a<68:1vb;6<:082k4>l3;;86sa69695?7|f;3o6<>:;|l5<0<628qe>4j51148yk0?>3;1=v`=9e8242=zf?2<6<4>{o0:`?7702we8n:50;3xj7?c28:27psyT4794?7=93o:w^=::3`:>7<6;:82mh4:64f8 7>42ln0(hm5129K1c=Oi11C:=5m2c:94?742o81i=uU25814>=9>0vD884$03;>455=1=5=13gon6?54o9194?"bn32=7ckj:298k=4=83.nj769;ogf>1=50;&fb?>13gon6;54o6d94?"bn32=7ckj:698f7g2280=6=4?{%32g?4?m2.>;79j;%326?4e02.<=7=4H468j7?c281b?;4?::k1g?6=3`9:6=44ig394?=n:00;66ai2;29?xd5i?0:6;4?:1y'54e=:1o0(8957d9'544=:k20(:?53:J60>h51m097d=9:188m7e=831b?<4?::ke5?6=3`826=44og094?=zj8138265=O==1e>4j53:k:4?6=3`8j6=44ocf94?=zj8<86<4<:183!76k382=6*>138265=O==1e>4j54:k:4?6=3`8j6=44ocf94?=zj8?<6<4;:183!76k383j6*>138265=O==1e>4j55:k05<4<4290;w)?>c;0:5>"69;0:>=5G559m62c2<7>5;h0b>5<4<3290;w)?>c;0;b>"69;0:>=5G559m65;h0b>5<138265=O==1e>4j58:k:4?6=3`8j6=44ocf94?=zj8?86<4;:183!76k383j6*>138265=O==1e>4j59:k05<4<4290;w)?>c;0:5>"69;0:>=5G559m65;h0b>5<4<3290;w)?>c;0;b>"69;0:>=5G559m65;h0b>5<138265=O==1e>4j5c:k:4?6=3`8j6=44ocf94?=zj8>m6<4;:183!76k383j6*>138265=O==1e>4j5d:k05<4<4290;w)?>c;0:5>"69;0:>=5G559m65;h0b>5<4<3290;w)?>c;0;b>"69;0:>=5G559m65;h0b>5<138265=O==1e>4j5119j=5<722c9m7>5;n`g>5<0b?7k:038m6>=831b>l4?::k24d<722eih7>5;|`21f<62:0;6=u+10a96<7<,8;96<l3;97d7?:188m7g=831dni4?::a51?=93>1<7>t$03`>7>a3-;:>7?=0:J60>h51m0:?6g<8;29?l4f2900e<>n:188kgb=831vn<;m:080>5<7s-;:o7<61:&257<6:91C995a28f951=n190;66g=a;29?jdc2900qo?;8;390?6=8r.:=n4=8g9'544=9;:0D8:4n3;g>43>o68h0;66amd;29?xd6<>0:6>4?:1y'54e=:0;0(5<>{e9=<1=7=50;2x 47d2;3:7)?>2;314>N2<2d95i4>7:k:4?6=3`8j6=44ocf94?=zj89i6<4;:183!76k383j6*>138265=O==1e>4j5199j7=<722c9m7>5;h33e?6=3fho6=44}c371?7=;3:11b81=4=#9881=?>4H468j7?c2830e4>50;9j6d<722eih7>5;|`27d<62=0;6=u+10a96=`<,8;96<l3;j7d=7:188m7g=831b==o50;9lfa<722wi=9:51;194?6|,8;h6?7>;%326?7582B>86`=9e82f>o>83:17d5<7s-;:o7<7f:&257<6:91C995a28f95f=n;10;66g=a;29?l77i3:17blk:188yg73;3;1?7>50z&25f<5181/=<<51328L025<5;h0b>5<4<3290;w)?>c;0;b>"69;0:>=5G559m65;n`g>5<0b?7k:338m<6=831b>l4?::ma`?6=3th:?84>:583>5}#98i1>5h4$031>4473A??7c<6d;01?l5?2900e?o50;9j55g=831dni4?::a516=9391<7>t$03`>7?63-;:>7?=0:J60>h51m09?6g60;29?l4f2900coj50;9~f454280?6=4?{%32g?4?n2.:=?4>219K11=i:0n1>95f3983>>o5i3:17d??a;29?jdc2900qo?73>iel3:17pl>3082>1<729q/=>{e9:o1=7=50;2x 47d2;3:7)?>2;314>N2<2d95i4=7:k:4?6=3`8j6=44ocf94?=zj89;6<4;:183!76k383j6*>138265=O==1e>4j5299j7=<722c9m7>5;h33e?6=3fho6=44}c3:b?7=;3:11b81=4=#9881=?>4H468j7?c2;30e4>50;9j6d<722eih7>5;|`2=`<62:0;6=u+10a96<7<,8;96<l38j7d7?:188m7g=831dni4?::a5<4=93>1<7>t$03`>7>a3-;:>7?=0:J60>h51m09n6g<8;29?l4f2900e<>n:188kgb=831vn<7k:080>5<7s-;:o7<61:&257<6:91C995a28f96f=n190;66g=a;29?jdc2900qo?60;390?6=8r.:=n4=8g9'544=9;:0D8:4n3;g>7b>o68h0;66amd;29?xd61j0:6>4?:1y'54e=:0;0(5<>{e91o1=7:50;2x 47d2;2m7)?>2;314>N2<2d95i4=f:k05<4<4290;w)?>c;0:5>"69;0:>=5G559m61b814H468j7?c2:;0e>650;9j6d<722c::283>5}#98i1>4?4$031>4473A??7c<6d;11?l?72900e?o50;9lfa<722wi=5o51;694?6|,8;h6?6i;%326?7582B>86`=9e807>o403:17d28086=4?{%32g?4>92.:=?4>219K11=i:0n1?95f9183>>o5i3:17blk:188yg7?03;187>50z&25f<50o1/=<<51328L02;4i2:94?=n:h0;66g>0`83>>iel3:17pl>9982>6<729q/=5;h0b>5<138265=O==1e>4j5399j=5<722c9m7>5;n`g>5<0b?7k:2;8m6>=831b>l4?::k24d<722eih7>5;|`2=3<62:0;6=u+10a96<7<,8;96<l39j7d7?:188m7g=831dni4?::a5=5=93>1<7>t$03`>7>a3-;:>7?=0:J60>h51m08n6g<8;29?l4f2900e<>n:188kgb=831vn<6>:080>5<7s-;:o7<61:&257<6:91C995a28f97f=n190;66g=a;29?jdc2900qo?70;397?6=8r.:=n4=909'544=9;:0D8:4n3;g>6b>iel3:17pl>7582>1<729q/=>{e9>l1=7=50;2x 47d2;3:7)?>2;314>N2<2d95i4138265=O==1e>4j5419j7=<722c9m7>5;h33e?6=3fho6=44}c34a?7=;3:11b81=4=#9881=?>4H468j7?c2=;0e4>50;9j6d<722eih7>5;|`235<62=0;6=u+10a96=`<,8;96<l3>97d=7:188m7g=831b==o50;9lfa<722wi=:j51;194?6|,8;h6?7>;%326?7582B>86`=9e877>o>83:17d5<7s-;:o7<7f:&257<6:91C995a28f901=n;10;66g=a;29?l77i3:17blk:188yg70k3;1?7>50z&25f<5181/=<<51328L02j0:694?:1y'54e=:1l0(5<5;h0b>5<4<3290;w)?>c;0;b>"69;0:>=5G559m65;n`g>5<0b?7k:5;8m<6=831b>l4?::ma`?6=3th::54>:583>5}#98i1>5h4$031>4473A??7c<6d;6b?l5?2900e?o50;9j55g=831dni4?::a52?=9391<7>t$03`>7?63-;:>7?=0:J60>h51m0?n6g60;29?l4f2900coj50;9~f401280?6=4?{%32g?4?n2.:=?4>219K11=i:0n18n5f3983>>o5i3:17d??a;29?jdc2900qo?88;397?6=8r.:=n4=909'544=9;:0D8:4n3;g>1b>iel3:17pl>6482>1<729q/=>{e9jk1=7=50;2x 47d2;3:7)?>2;314>N2<2d95i4;f:k:4?6=3`8j6=44ocf94?=zj8i26<4<:183!76k382=6*>138265=O==1e>4j5519j=5<722c9m7>5;n`g>5<0b?7k:438m6>=831b>l4?::k24d<722eih7>5;|`2g=<62:0;6=u+10a96<7<,8;96<l3?97d7?:188m7g=831dni4?::a5g5=93>1<7>t$03`>7>a3-;:>7?=0:J60>h51m0>?6g<8;29?l4f2900e<>n:188kgb=831vn5<7s-;:o7<61:&257<6:91C995a28f911=n190;66g=a;29?jdc2900qo?m2;390?6=8r.:=n4=8g9'544=9;:0D8:4n3;g>03>o68h0;66amd;29?xd6k?0:6>4?:1y'54e=:0;0(5<>{e9k:1=7:50;2x 47d2;2m7)?>2;314>N2<2d95i4:7:k05<4<4290;w)?>c;0:5>"69;0:>=5G559m61b814H468j7?c2<30e>650;9j6d<722c::283>5}#98i1>4?4$031>4473A??7c<6d;7b?l?72900e?o50;9lfa<722wi=lk51;694?6|,8;h6?6i;%326?7582B>86`=9e86f>o403:17d92.:=?4>219K11=i:0n19n5f9183>>o5i3:17blk:188yg7fk3;187>50z&25f<50o1/=<<51328L020`83>>iel3:17pl>c382>6<729q/=5;h0b>5<138265=O==1e>4j5619j=5<722c9m7>5;n`g>5<0b?7k:738m6>=831b>l4?::k24d<722eih7>5;|`125<62?0;6=u+5687`>"69;0:>=5+10a96=b<,8;<66=O==1e>4j5639j73<722c9o7>5;h12>5<>ia:3:17pl=5g82>3<729q/9:4;d:&257<6:91/=:29K11=i:0n1:>5f3783>>o5k3:17d=>:188mc7=831b>44?::me6?6=3th99h4>:783>5}#=>0?h6*>138265=#98i1>5j4$034>4713-=:6>5G559m6=1b?;4?::k1g?6=3`9:6=44ig394?=n:00;66ai2;29?xd5=m0:6;4?:1y'12<3l2.:=?4>219'54e=:1n0(7>5;|`11f<62?0;6=u+5687`>"69;0:>=5+10a96=b<,8;<66=O==1e>4j5679j73<722c9o7>5;h12>5<>ia:3:17pl=5c82>3<729q/9:4;d:&257<6:91/=:29K11=i:0n1::5f3783>>o5k3:17d=>:188mc7=831b>44?::me6?6=3th99l4>:783>5}#=>0?h6*>138265=#98i1>5j4$034>4713-=:6>5G559m611b?;4?::k1g?6=3`9:6=44ig394?=n:00;66ai2;29?xd5=00:6;4?:1y'12<3l2.:=?4>219'54e=:1n0(7>5;|`11=<62?0;6=u+5687`>"69;0:>=5+10a96=b<,8;<66=O==1e>4j56`9j73<722c9o7>5;h12>5<>ia:3:17pl=5682>3<729q/9:4;d:&257<6:91/=:29K11=i:0n1:o5f3783>>o5k3:17d=>:188mc7=831b>44?::me6?6=3th9;94>:783>5}#=>0?h6*>138265=#98i1>5j4$034>4713-=:6>5G559m6j1b?;4?::k1g?6=3`9:6=44ig394?=n:00;66ai2;29?xd5?:0:6;4?:1y'12<3l2.:=?4>219'54e=:1n0(7>5;|`137<62?0;6=u+5687`>"69;0:>=5+10a96=b<,8;<66=O==1e>4j56d9j73<722c9o7>5;h12>5<>ia:3:17pl=7082>3<729q/9:4;d:&257<6:91/=:29K11=i:0n1:k5f3783>>o5k3:17d=>:188mc7=831b>44?::me6?6=3th9;=4>:783>5}#=>0?h6*>138265=#98i1>5j4$034>4713-=:6>5G559m6o0:6;4?:1y'12<3l2.:=?4>219'54e=:1n0(7>5;|`12`<62?0;6=u+5687`>"69;0:>=5+10a96=b<,8;<66=O==1e>4j5739j73<722c9o7>5;h12>5<>ia:3:17pl=6e82>3<729q/9:4;d:&257<6:91/=:29K11=i:0n1;>5f3783>>o5k3:17d=>:188mc7=831b>44?::me6?6=3th9:n4>:783>5}#=>0?h6*>138265=#98i1>5j4$034>4713-=:6>5G559m6k0:6;4?:1y'12<3l2.:=?4>219'54e=:1n0(7>5;|`17=<62?0;6=u+5687=>"69;0:>=5+10a96=b<,8;<6N2<2d95i486:k02?6=3`8h6=44i2394?=nn80;66g=9;29?j`52900qo<<7;392?6=8r.>;7:6;%326?7582.:=n4=8e9'541=9l1/;<4<;I77?k4>l3=<7d=9:188m7e=831b?<4?::ke5?6=3`826=44og094?=zj;9=6<49:183!302=30(1682a>"09390D8:4n3;g>2>>o493:17dh>:188m7?=831dj?4?::a663=93<1<7>t$4590<=#9881=?>4$03`>7>c3-;:;7?j;%52>6=O==1e>4j5789j73<722c9o7>5;h12>5<>ia:3:17pl=3582>3<729q/9:4;9:&257<6:91/=h51m0?50;9jb4<722c957>5;nd1>5<27)?>2;314>"69j094i5+10595`=#?8087E;;;o0:`?1e3`9=6=44i3a94?=n;80;66gi1;29?l4>2900ck<50;9~f755280=6=4?{%74>1?<,8;96<e:&45?5<@<>0b?7k:6a8m60=831b>n4?::k05?6=3`l:6=44i3;94?=hn;0;66sm22395?0=83:p(895489'544=9;:0(:29K11=i:0n1;i5f3783>>o5k3:17d=>:188mc7=831b>44?::me6?6=3th9?=4>:783>5}#=>0?56*>138265=#98i1>5j4$034>4c<,>;1?6F:4:l1=a<0m2c8:7>5;h0`>5<>o513:17bh=:188yg45n3;1:7>50z&63?2>3-;:>7?=0:&25f<50m1/=<951d9'34<43A??7c<6d;5e?l512900e?m50;9j74<722cm=7>5;h0:>5<4<1290;w);8:5;8 475288;7)?>c;0;`>"69>0:i6*81;18L024i2494?=n:j0;66g<1;29?l`62900e?750;9lb7<722wi=kh51;494?6|,<=1845+1009576<,8;h6?6k;%323?7b3-=:6>5G559m6219'54e=:1n0(86`=9e8;6>o4>3:17d3:11b815<>oa93:17d<6:188kc4=831vn5<7s-?<6974$031>4473-;:o7<7d:&252<6m2.<=7=4H468j7?c21>0e>850;9j6f<722c8=7>5;hd2>5<>{e9oh1=7850;2x 01=<01/=<<51328 47d2;2o7)?>7;3f?!162:1C995a28f9<0=n;?0;66g=c;29?l562900ek?50;9j6<<722em>7>5;|`2bd<62?0;6=u+5687=>"69;0:>=5+10a96=b<,8;<6N2<2d95i476:k02?6=3`8h6=44i2394?=nn80;66g=9;29?j`52900qo?i9;392?6=8r.>;7:6;%326?7582.:=n4=8e9'541=9l1/;<4<;I77?k4>l32<7d=9:188m7e=831b?<4?::ke5?6=3`826=44og094?=zj8l36<49:183!302=30(1682a>"09390D8:4n3;g>=>>o493:17dh>:188m7?=831dj?4?::a5c1=93<1<7>t$4590<=#9881=?>4$03`>7>c3-;:;7?j;%52>6=O==1e>4j5889j73<722c9o7>5;h12>5<>ia:3:17pl>e782>3<729q/9:4;d:&257<6:91/=:29K11=i:0n14l5f3783>>o5k3:17d=>:188mc7=831b>44?::me6?6=3th:h=4>:783>5}#=>0?56*>138265=#98i1>5j4$034>4c<,>;1?6F:4:l1=a5;h0`>5<>o513:17bh=:188yg7593;1:7>50z&63?2c3-;:>7?=0:&25f<50m1/=<951048 27=;2B>86`=9e8;g>o4>3:17d3:11b81N2<2d95i47d:k02?6=3`8h6=44i2394?=nn80;66g=9;29?j`52900qo7h51m03i6g97;29?l0?2900e;750;9j2d<722en87>5;|`1f7<62<0;6=u+10a9=3=#=>0oh6*>1381f==O==1e>4j58g9j22<722c=47>5;h4:>5<>{e:k91=7;50;2x 47d20<0(895c59'544=:k20D8:4n3;g><6>o113:17d8n:188k`2=831vn?l;:086>5<7s-;:o779;%74>a2<,8;96?l7;I77?k4>l33:7d88:188m3>=831b:44?::k5e?6=3fo?6=44}c0a1?7==3:11b8:2>"2?3i?7)?>2;0a<>N2<2d95i462:k53?6=3`<36=44i7;94?=n>h0;66aj4;29?xd5i10:684?:1y'54e=1?1/9:4ld:&257<5j11C995a28f9=6=n>>0;66g98;29?l0>2900e;o50;9la1<722wi>l751;794?6|,8;h6484$459`4=#9881>o64H468j7?c20>0e;950;9j2=<722c=57>5;h4b>5<1<75rb3`2>4<3290;w)?>c;;5?!302m90(5<>ib<3:17pl>a282>1<729q/=219K11=i:0n15;5f6683>>o103:17d86:188k`2=831vn5<7s-;:o779;%74>f?<,8;96<l33<7d88:188m3>=831b:44?::k5e?6=3fo?6=44}c3b6?7=;3:11b8:2>"2?3nh7)?>2;314>N2<2d95i468:k53?6=3`<36=44od694?=zj;=>6<4::183!76k33=7);8:e48 475288;7E;;;o0:`??>3`<<6=44i7:94?=n>00;6E:9;:k5e?6=3fo?6=44}c3f3?7==3:11b8:2>"2?3i>7)?>2;314>N2<2d95i46a:k53?6=3`<36=44i7;94?N3>21b:l4?::mf0?6=3th9;h4>:483>5}#98i15;5+568g3>"69;0:>=5G559m610;66g99;29?jc32900qo?=7;391?6=8r.:=n466:&63?e73-;:>7?=0:J60>h51m02h6g97;29?l0?2900e;750;9j2d<72A>=76aj4;29?xd5??0:684?:1y'54e=1?1/9:4kd:&257<6:91C995a28f9=`=n>>0;66g98;29?l0>2900e;o50;J72>=hm=0;66sm1`495?2=83:p(10;66g99;29?jc32900qo<>4;391?6=8r.:=n466:&63?eb3-;:>7?=0:J60>h51m0j<6g97;29?l0?2900e;750;9j2d<722en87>5;|`51?7=:3:11b8f5>"69;09n55+768f0>N2<2d95i4n1:k52?6=3fho6=44}c0;1?7=:3:11b8f5>"69;09n55+768f0>N2<2d95i4n2:k52?6=3fho6=44}c333?7=:3:11b8f5>"69;09n55+768f0>N2<2d95i4n3:k52?6=3fho6=44}c332?7=:3:11b8f5>"69;09n55+768f0>N2<2d95i4n4:k52?6=3fho6=44}c331?7=:3:11b8f5>"69;09n55+768f0>N2<2d95i4n5:k52?6=3fho6=44}c330?7=:3:11b8f5>"69;09n55+768f0>N2<2d95i4n6:k52?6=3fho6=44}c337?7=:3:11b8f5>"69;09n55+768f0>N2<2d95i4n7:k52?6=3fho6=44}c336?7=:3:11b8f5>"69;09n55+768f0>N2<2d95i4n8:k52?6=3fho6=44}c335?7=:3:11b8f5>"69;09n55+768f0>N2<2d95i4n9:k52?6=3fho6=44}c334?7=:3:11b8f5>"69;09n55+768f0>N2<2d95i4na:k52?6=3fho6=44}c:e>4<5290;w)?>c;g2?!76:38i46*87;g7?M333g82h7om;h45>5<7<729q/=>iel3:17pl7c;396?6=8r.:=n4j1:&257<5j11/;:4j4:J60>h51m0ji6g96;29?jdc2900qo6m:081>5<7s-;:o7k>;%326?4e02.<;7k;;I77?k4>l3km7d89:188kgb=831vn5o51;094?6|,8;h6h?4$031>7d?3-=<6h:4H468j7?c2k:0e;850;9lfa<722wi444>:383>5}#98i1i<5+10096g><,>=1i95G559m61381f==#?>0n86F:4:l1=a5;n`g>5<o7)?>2;314>"69j094i5+1059540<,>;1h6F:4:l1=a5;h0`>5<>o513:17bh=:188yg7bk3;197>50z&25f<>>2.>;7m<;%326?7582B>86`=9e8a0>o1?3:17d87:188m3?=831b:l4?::mf0?6=3th9=h4>:483>5}#98i15;5+568g<>"69;0:>=5G559m610;66g99;29?l0f2900ch:50;9~f44>280>6=4?{%32g??13-?<6nl4$031>4473A??7c<6d;`4?l002900e;650;9j2<<722c=m7>5H548?jc32900qo?=8;391?6=8r.:=n466:&63?bc3-;:>7?=0:J60>h51m0i46g97;29?l0?2900e;750;9j2d<722en87>5;|`2e1<62<0;6=u+10a9=3=#=>0h46*>138265=O==1e>4j5b89j22<722c=47>5;h4:>5<>{e:8k1=7;50;2x 47d20<0(895dd9'544=9;:0D8:4n3;g>gg>o113:17d8n:18K03=1<75rb33e>4<2290;w)?>c;;5?!302j<0(5<>o1i3:1D984;ng7>5<5;h4;>5<>{e:181=7;50;2x 47d20<0(895d49'544=9;:0D8:4n3;g>gb5;h4b>5<1<75rb3`4>4<3290;w)?>c;;5?!302ml0(5<>ib<3:17pl=1982>0<729q/=219K11=i:0n1nk5f6683>>o103:17d86:188m3g=83B?:65`e583>>{e:831=7;50;2x 47d20<0(895c09'544=9;:0D8:4n3;g>f6>o113:17d8n:188k`2=831vn>>51;094?6|,8;h6;l4$031>7d?3-=<6;j4H468j7?c2j;0e;850;9lfa<722wi=8o51;094?6|,8;h6484$459a5=#9881=?>4H468j7?c2j80e;950;9la1<722wi=>j51;094?6|,8;h6484$459a5=#9881=?>4H468j7?c2j90e;950;9la1<722wi=4;51;094?6|,8;h6484$459a5=#9881=?>4H468j7?c2j>0e;950;9la1<722wi=:951;094?6|,8;h6484$459a5=#9881=?>4H468j7?c2j?0e;950;9la1<722wi=n>51;094?6|,8;h6484$459a5=#9881=?>4H468j7?c2j<0e;950;9la1<722wi=ok51;094?6|,8;h6484$459a5=#9881=?>4H468j7?c2j=0e;950;9la1<722wi=om51;094?6|,8;h6484$459a5=#9881=?>4H468j7?c2j20e;950;9la1<722wi=oo51;094?6|,8;h6484$459a5=#9881=?>4H468j7?c2j30e;950;9la1<722wi=o651;094?6|,8;h6484$459a5=#9881=?>4H468j7?c2jk0e;950;9la1<722wi=o851;094?6|,8;h6484$459a5=#9881=?>4H468j7?c2jh0e;950;9la1<722wi=l?51;094?6|,8;h6484$459a5=#9881=?>4H468j7?c2ji0e;950;9la1<722wi>lm51;794?6|,8;h6484$459g7=#9881>o64H468j7?c2jn0e;950;9j2=<722c=57>5;h4b>5<1<75rb3cg>4<3290;w)?>c;0:4>"69;09n55G559m65;n`g>5<5;h4;>5<>ib<3:17pl=b182>1<729q/=>{e9;n1=7;50;2x 47d20<0(895c69'544=9;:0D8:4n3;g>a7>o113:17d8n:188k`2=831vn<5<7s-;:o779;%74>a4<,8;96<l3n97d88:188m3>=831b:44?::k5e?6=3fo?6=44}c31b?7=<3:11b81=5=#9881=?>4H468j7?c2m90e;950;9j2=<722c::583>5}#98i15;5+568gb>"69;0:>=5G559m600;66aj4;29?xd5?o0:684?:1y'54e=1?1/9:4k5:&257<6:91C995a28f9`3=n>>0;66g98;29?l0>2900e;o50;9la1<722wi>:951;194?6|,8;h6484$459`g=#9881=?>4H468j7?c2m=0e;950;9j2=<722en87>5;|`154<62=0;6=u+10a9=3=#=>0o56*>138265=O==1e>4j5d99j22<722c=47>5;h4:>5<1<75rb336>4<2290;w)?>c;;5?!302ji0(5<>o1i3:17bk;:188yg46j3;197>50z&25f<>>2.>;7li;%326?7582B>86`=9e8ge>o1?3:17d87:188m3?=831b:l4?::mf0?6=3th9>=4>:483>5}#98i15;5+568aa>"69;0:>=5G559m610;66g99;29?l0f2900ch:50;9~f7e=93;1<7>t$03`>2><,>=1:i5+928b6>N2<2d95i4kd:m254<722wi:94>:083>5}#98i1i>5+778:b>h4i320b<>i:428 21=m=1/5>48a:J60>h51m0oi6g>1183>>{e?o0:6<4?:1y'54e=m:1/;:4j4:&:7?g63A??7c<6d;fe?l7683:17pl70;395?6=8r.:=n4j3:&43?c33-386l>4H468j7?c2l:0e6280:6=4?{%32g?c43-=<6h:4$819ad=O==1e>4j5e09j546=831vn5<51;394?6|,8;h6h=4$659a1=#1:0n56F:4:l1=a0n86*63;`;?M333g82h7k<;h324?6=3th387?51;294~"69j0n?6*87;g7?!?42k=0D8:4n3;g>`24<6290;w)?>c;g0?!102l>0(4=5e99K11=i:0n1i85f10294?=zj1=1=7?50;2x 47d2l90(:95e59'=6<2j2B>86`=9e8f2>o6990;66smf682>4<729q/=n2d8m764n02e>06<,>=1i95+92857>N2<2d95i4j7:k255<722wij54>:083>5}#98i1i>5+778:b>h4i320b<>i:428 21=m=1/5>489:J60>h51m0n46g>1183>>{en00:6<4?:1y'54e=m:1/;;46f:l0e?>4$659a1=#1:0?86F:4:l1=a86`=9e8fe>o6990;66smfc82>4<729q/=n2d8m764n02e>06<,>=1i95+9286`>N2<2d95i4jb:k255<722wijn4>:083>5}#98i1i>5+778:b>h4i320b<>i:428 21=m=1/5>4=7:J60>h51m0no6g>1183>>{enm0:6<4?:1y'54e=m:1/;;46f:l0e?>4$659a1=#1:08;6F:4:l1=a86`=9e8fa>o6990;66sm29695?7=83:p(4j5eg9j546=831vn?lm:081>4<4s-;:o78=;I32f>h51m0m<6g<0;39?j4c2800n?k50;694?6|,8;h6?6l;%326?4e02B>86`=9e8e5>o1?3:1D984;h4;>5<>50;0xZ66<5;o1::5rs3f94?4|V;n01?k5be9~w7`=83;p1?k511c8yxd4<3;1<7>50zJ1=3=#98i1?>5G10;8Lge4<7290;wE<68:&25fu22`796f=::h<1>n521d`9b7=z{;k86=4<{<0b1?`534;9h787;<31a?003ty9m:4?:3y>6d0=;?16>o?5e59~w7g32909w07}:9?>15=521bc9fa=z{8?<6=4={<350?4f34;>;7lk;|q107<72;q6=;:5be9>66>=;?1v?:m:180871;33;70?:7;33e>;6k00ih6s|14794?5|58<86?o4=074>7g<58?>6oj4}r075?6=:r7::>4md:?172<4>2wxmh4?:24x94302:201<;::2:894342:201<;>:2:8942a2:201<:k:2:8942e2:201<:6:2:8943e2;k01<:7:3c8945e2:201<=n:2:8945>2:201<=7:2:894502:201<=::2:894542:201<=>:2:8945b2;k01<=?:3c894?52:201<7?:2:894>b2:201<6l:2:894>f2:201<67:2:894>12:201<6;:2:894?12;k01<6<:3c894132:201<9=:2:894172:201<8j:2:8940d2:201<8n:2:8940?2:201<89:2:8941?2;k01<8::3c894d72:201?164h496:?;`?01342h6;84=9`923=:0h0=:6379;45?8>?2?<01?ok:7:897d72?20q~<;a;297~;6>;02<63>54824d=:9j21ni5rs070>5<4s4;=>7?7lk;|q105<72;q6=;<5be9>660=;?1v?:6:180871933;70?:3;33e>;6k>0ih6s|14394?5|58<:6?o4=070>7g<58?:6oj4}r00b?6=:r7::<4md:?170<4>2wx>9650;1x940720:01<;>:02b?87d>3ho7p}>4g83>6}:9?:1>l5214396d=:9=l1ni5rs31f>5<5s4;=<7lk;<000?513ty98:4?:2y>50`=1916=9h511c894e22kn0q~?;d;297~;6=o09m63>4g81e>;66053z?21`<>827:8i4>0`9>5f2=jm1v<:m:180872m38j70?;d;0b?873j3ho7p}=3b83>7}:9>6=4<{<36`??734;?n7??a:?2g62kn0q~<{t:=>1<7=t=07`><6<58>26<>n;<3`6?dc3ty:854?:2y>50e=:h16=9752`9>51>=jm1v?=n:181872k3ho70<<0;15?xu6=00;6>u214`9=5=:9=21==o4=07b>`252z?21gk4<6:pf5<72lq6=965399>566=;116=5=5399>533=;116=o:5399>5g5=;116=o<5399>5d`=;116=lk5399>5f7=:h16=l652`9>5`0=;?16=??5379>5`d=;?1v???:181873?33;70?n7;g7?xu6;k0;6?u215596d=:9:h1ni5rs32b>5<5s4;?;7lk;<034?513ty9510=1916=>l511c894g22l>0q~?3c81e>;6;h0ih6s|21;94?4|58>=6oj4=0de>6053z?200<>827:?l4>0`9>5d2=m=1v<=6:180873=38j70?7}:9=?1ni521gg973=z{;:o6=4<{<370??734;857??a:?2e6650;1x94232;k01<=6:3c8945?2kn0q~fe802>{t:9i1<7=t=060><6<58936<>n;<3b6?c33ty:?:4?:2y>515=:h16=>652`9>561=jm1v?>9:181873;3ho70?ic;15?xu58k0;6>u21509=5=:9:=1==o4=0c2>`253z?207<5i27:?:4=a:?270=;50;0x94252kn01408:4>;6;<0:c4<5;=n6;74=005>31<5;;:6;74=33a>31<5;8;6;64}r307?6=;r7:8<4=a:?270<5i27:?>4md:p652=838p1<:>:cf894`f2:<0q~<;f;29e~;6<902<63>32824d=:9>k15=5217:955g<5;?26k<4=35f>3g<5;;:6;64=33a>3><5;8;6;74=000>3?53z?205<5i27:?>4=a:?274==50;0x94272kn013g8:4>;6;80:c4<5;296;o4=332>31<5;8;6;94=000>3>53z?27c<5i27:?<4=a:?275=<50;0x945a2kn013d8:4>;6;90:622=n;16=n>5669>62g=>116=h65689>643=>>1v<7=:18187>n38j70?62;`g?xu6m=0;6?u218d9fa=::>>1?;5rs34:>5<1s4;2i77?;<3:6?77i279;>4i2:?133<1?279>949a:?2f`<1?2wx=4>50;1x94?b2;k01<7=:3c894?72kn0q~?j2;296~;61l0ih63=72802>{t:?21<78t=0;g><6<583;6<>n;<046?`5348<:786;<010?0>34;io788;|q2<`<72:q6=4j52`9>5<6=:h16=5k5be9~w4c72909w0?6d;`g?840:39=7p}=6683>3}:90i15=5219g955g<5;=:6k<4=307>31<58hj6;94=354>3153z?2=f<5i27:4h4=a:?2:248yv41>3:1;v3>9c8:4>;60j0:c4<5;8?6;64=354>3>53z?2=g<5i27:4n4=a:?29`8:4>;60h0:<1:5521c:922=z{8236=4<{<3:e?4f34;3m75;h5379~w703290;59=0=463>b7853>;5?h0=;63>e985<>{t91<1<7=t=0;:>7g<58236?o4=0:5>gb52z?2=<128:j70?l3;;3?87fk3;;m63=6e8e6>;59=0=;63=7`85=>;6m10=;6s|19694?5|58336?o4=0:5>7g<582?6oj4}r3g2?6=:r7:554md:?12a<4>2wx>;<50;:x94?020:01<6;:02b?87d:33;70?na;33e>;5>j0m>63=7485<>;6m>0=463=1585e>{t9191<7=t=0;4>7g<582?6?o4=0:0>gb52z?2=2428:j70?65;g7?xu6l;0;6?u21849fa=::?h1?;5rs375>55=7=:h16=::5be9~w4c22909w0?71;`g?841839=7p}=5483>f}:91:15=52166955g<5;?m6k<4=0c4>3?<58k>6;64=0g`>31<58836;64=33;>31<5;;26;94=00g>31<588n6;64=35e>3?7>53z?2<5<5i27:;94=a:?23772kn01?;i:248yv42<3:1nv3>7g8:4>;6?;0:1::5220d92==::>l1:55220`92<=z{8=;6=4<{<34b?4f34;<>752`=jm16>8k5379~w734290iw0?8e;;3?87083;;m63=5e8e6>;6i:0=;63>2685<>;6i?0=463=1d85=>;6:00=;63>a585=>;59h0=463=7g85e>{t9?o1<7=t=05f>7g<58=;6?o4=04f>gb52z?23`a;4:?875>3<37p}>6b83>6}:9>n1>l5217g96d=:9?i1ni5rs0fg>5<5s4;52e=1916=;m511c8973e2o8016b81e>;6>h0ih6s|1e`94?4|58=h6oj4=37a>6053z?23g<5i27::l4=a:?22=3:1?v3>7`81e>;6>109m63>678a`>{t9m=1<7gb<5;?26>84}r351?6=;r7:;44=a:?223<5i27::84md:p5a3=838p1<96:cf8973?2:<0q~?86;297~;6?102<63>64824d=:9>=1i95rs0f0>5<5s4;<47lk;<063?513ty:nk4?:3y>5fg=1916=n>5e59~w4d32909w0?la;0b?87e<3ho7p}>be83>6}:9j315=521c6955g<58hn6h:4}r3a7?6=;r7:o44=a:?2f1<5i27:n>4md:p5gd=839p1u21b:96d=:9k91>l521c09fa=z{8h26=4<{<3`3??734;i>7??a:?2fd50;1x94e02;k01b181e>;6io0ih6s|1c594?5|58i>64>4=0ce>46f34;i47k;;|q2e`<72:q6=n;52`9>5d`=:h16=lk5be9~w4d22908w0?l4;;3?87fm3;;m63>b78f0>{t9hi1<7=t=0a7>7g<58kn6?o4=0c`>gb53z?2g6<5i27:mn4=a:?2eda9824d=::?h1j?52267922=:9l=1::5220692<=:90?1::5rs360>5<5s4;h=7lk;<36e?003ty9h7>52dy>636=:j16>8h52b9>60c=:j16>8j52b9>60e=:j16>8l52b9>60g=:j16>8752b9>60>=:j16>8952b9>622=:j16>:=52b9>624=:j16>:?52b9>626=:j16>;h52b9>63c=:j16>;j52b9>63e=:j16>;l52b9>66>=:j16>>952b9>660=:j16>>;52b9>662=:j16>>=52b9>664=:j16>>?52b9>666=:j16>?h52b9>656=:j16=kh52b9>5cc=:j16=kj52b9>5ce=:j16=kl52b9>5cg=:j16=k752b9>5c>=:j16=k952b9>5`0=:j16=i>52b9>577=:j16=hl52b9>5a7=:j16>ol52e9~w71c290:9v3=61805>;5=o08=63=5d805>;5=m08=63=5b805>;5=k08=63=5`805>;5=008=63=59805>;5=>08=63=7581=>;5?:09563=7381=>;5?809563=7181=>;5>o09563=6d81=>;5>m09563=6b81=>;5>k09563=838f0>{t:=n1<78t=374>c4<588<6;74=3:1>3><589o6;94=054>31<58886;94}r041?6=jr79;94<1:?136<49279;?4<1:?134<49279;=4<1:?12c<49279:h4<1:?12a<49279:n4<1:?12g<49279;84j4:p64>=83hp1?=7:3;897502;301?=9:3;897522;301?=;:3;897542;301?==:3;897562;301?=?:3;8974a2;301??6:d68yv45m3:1?v3=398e6>;5j80=563=b685=>{t:;n1<7=t=314>c4<5;h?6;64=3`6>3>53z?173?l50;1x97522o801?l=:7:897d32?=0q~<=a;297~;5;=0m>63=b385=>;5j:0=m6s|23;94?5|5;986k<4=3`1>3g<5;h86;94}r01498:p671=839p1?=>:g0897gf2?=01?l<:7;8yv45>3:1>v3=318e6>;5ih0=m6s|23794?4|5;8m6k<4=3cb>3?5bz?145<5127:jk4=9:?2b`<5127:ji4=9:?2bf<5127:jo4=9:?2bd<5127:j44=9:?2b=<5127:j:4=9:?15=5<5s4;mi7h=;<0bb?0>3ty:j>4?:2y>5cb=n;16>l75669>6db=99k0q~?i2;297~;6nj0m>63=a885=>;5ij0=56s|1g394?5|58li6k<4=3c:>3><5;kh6;o4}r3e4?6=;r7:jl4i2:?1e=<10279mn498:p5``=839p1v3>f98e6>;5i10=m6s|1df94?4|58l<6k<4=3c;>3?52z?2a3<4927:i:4j4:p5`0=838p122?<0q~?=b;296~;6l908:63>2g8a`>{t9jo1<7:t=0f3>c4<58:?6;84=020>30<58:96;84}r316?6=:r7:><4<1:?26=5<5s48i>7k;;<0a1?0>3ty9n>4?:3y>6g5=m=16>o:5689~w7d32909w06}::k?1i9522c392==::k=1:55rs3c;>5<5s48j47k;;<0b=?0f3ty9m44?:3y>6d?=m=16>lh5699~w7gb2908w0u21`592d=:9h?1:4521`49a1=z{;=h6=4={<041?0>348:;56`9>5`1=>h16>:85e59>643=>h1v5<5s4;9;78n;<025?c33ty:>:4?:3y>571=m=16=?75689~w71>2909w0<86;4b?840?3o?7p}=1583>7}::8>1i95220792<=z{?>1<7=t=779fa=:>=0:==5Q659~w7>32908w0<75;`g?84?<3;:<6P=859~w4ea290=w0??7;45?877>3<=70??5;45?87793<=70??0;45?87c93l97p}if;297~;68>0ih63if;324>Xan2wxji4?:2y>550=jm16ji4>119]ba=z{oi1<7=t=026>gb<5oi1=<>4^ga8yv`e2908w0??4;`g?8`e28;;7Shm;|qee?6=;r7:<>4md:?ee?7682Tmm6s|f883>6}:9981ni52f88255=Yn01vk650;1x94662kn01k651028Zc>028;;7S68;|q;1?6=;r73i7lk;<:6>4773W2>7p}74;297~;?l3ho706;:033?[>33ty3?7>53z?;g?dc34286528;;7S6=;|q;5?6=;r73m7lk;<:2>4773W2:7p}70;297~;?13ho706?:033?[>73ty53z?;5<2s4;no786;<02b?c3348:4787;<02=?0?34;9j7??a:p643=830q~l6:181846m3o?70<>f;4:?xuei3:1>v3=258f0>;59<0=46s|13794?4|58826;o4=000>`264d=m=1v?<=:181846n3v3>278f0>;6::0=m6s|29394?4|5;296;94=35e>`230<5;i1={t:hh1<7gb<5;km6;o4}r0bb?6=:r79mk4j4:?1f5<1?2wx=?m50;0x944c2l>01<v3>2d8f0>;6:o0=;6srnb14>5<6sg82h7>4}oa0;|l`7<<728qe>4j52:mg6g=83;pb?7k:29~jf5e290:wc<6d;68yke4k3:1=v`=9e86?xhd;m0;6{ik:o1<7?tn3;g>2=zfj9m6=4>{o0:`?>51zl1=a<>3tdh8<4?:0ym64j5c:mg12=83;pb?7k:e9~jf22290:wc<6d;g8yke3>3:1=v`=9e8e?xhd<>0;636=4>{o0:`?763tdh844?:0ym6l3;87p`l4c83>4}i:0n1=95rnb6`>5<6sg82h7?:;|l`0a<728qe>4j5179~jf2b290:wc<6d;34?xhd{o0:`?7>3tdh9<4?:0ym6l3;i7p`l5283>4}i:0n1=n5rnb77>5<6sg82h7?k;|l`10<728qe>4j51d9~jf31290:wc<6d;3e?xhd=>0;6{o0:`?463tdh944?:0ym64j5229~jf3e290:wc<6d;07?xhd=j0;6{o0:`?413tdh9h4?:0ym61vbn;i:182k4>l3837p`l6183>4}i:0n1>45rnb42>5<6sg82h74j52c9~jf04290:wc<6d;0`?xhd>=0;66=4>{o0:`?4b3tdh:;4?:0ym6l39;7p`l6983>4}i:0n1?<5rnb4:>5<6sg82h7==;|l`2d<728qe>4j5329~jf0e290:wc<6d;17?xhd>j0;6{o0:`?513tdh:h4?:0ym61vbn8i:182k4>l3937p`l7183>4}i:0n1?45rnb52>5<6sg82h7=n;|l`37<728qe>4j53c9~jf14290:wc<6d;1`?xhd?=0;66=4>{o0:`?5b3tdh;;4?:0ym6l3>;7p`l7983>4}i:0n18<5rnb5:>5<6sg82h7:=;|l`3d<728qe>4j5429~jf1e290:wc<6d;67?xhd?j0;6{o0:`?213tdh;h4?:0ym61vbn9i:182k4>l3>37p`l8183>4}i:0n1845rnb:2>5<6sg82h7:n;|l`<7<728qe>4j54c9~jf>4290:wc<6d;6`?xhd0=0;66=4>{o0:`?2b3tdh4;4?:0ym6l3?;7p`l8983>4}i:0n19<5rnb::>5<6sg82h7;=;|l`4j5529~jf>e290:wc<6d;77?xhd0j0;6{o0:`?313tdh4h4?:0ym61vbn6i:182k4>l3?37p`l9183>4}i:0n1945rnb;2>5<6sg82h7;n;|l`=7<728qe>4j55c9~jf?4290:wc<6d;7`?xhd1=0;66=4>{o0:`?3b3tdh5;4?:0ym6l3<;7p`l9983>4}i:0n1:<5rnb;:>5<6sg82h78=;|l`=d<728qe>4j5629~jf?e290:wc<6d;47?xhd1j0;6{o0:`?013tdh5h4?:0ym6>1vbn7i:182k4>l3<37p`la183>4}i:0n1:45rnbc2>5<6sg82h78n;|l`e7<728qe>4j56c9~jfg4290:wc<6d;4`?xhdi=0;66=4>{o0:`?0b3tdhm;4?:0ym6o1vbno8:182k4>l3=;7p`la983>4}i:0n1;<5rnbc:>5<6sg82h79=;|l`ed<728qe>4j5729~jfge290:wc<6d;57?xhdij0;6{o0:`?113tdhmh4?:0ym61vbnoi:182k4>l3=37p`lb183>4}i:0n1;45rnb`2>5<6sg82h79n;|l`f7<728qe>4j57c9~jfd4290:wc<6d;5`?xhdj=0;66=4>{o0:`?1b3tdhn;4?:0ym6l32;7p`lb983>4}i:0n14<5rnb`:>5<6sg82h76=;|l`fd<728qe>4j5829~jfde290:wc<6d;:7?xhdjj0;6{o0:`?>13tdhnh4?:0ym61vbnli:182k4>l3237p`lc183>7}i:0n1445rnba2>5<6sg82h76n;|l`g7<728qe>4j58c9~jfe4290:wc<6d;:`?xhdk=0;66=4>{o0:`?>b3tdho;4?:0ym6l33;7p`lc983>4}i:0n15<5rnba:>5<6sg82h77=;|l`gd<728qe>4j5929~jfee290:wc<6d;;7?xhdkj0;6{o0:`??13tdhoh4?:0ym61vbnmi:182k4>l3337p`ld183>4}i:0n1545rnbf2>5<6sg82h77n;|l``7<728qe>4j59c9~jfb4290:wc<6d;;`?xhdl=0;66=4>{o0:`??b3tdhh;4?:0ym6l3k;7p`ld983>4}i:0n1m<5rnbf:>5<6sg82h7o=;|l``d<728qe>4j5a29~jfbe290:wc<6d;c7?xhdlj0;6{o0:`?g13tdhhh4?:0ym61vbnji:182k4>l3k37p`le183>4}i:0n1m45rnbg2>5<6sg82h7on;|l`a7<728qe>4j5ac9~jfc4290:wc<6d;c`?xhdm=0;66=4>{o0:`?gb3tdhi;4?:0ym6l3h;7p`le983>4}i:0n1n<5rnbg:>5<6sg82h7l=;|l`ad<728qe>4j5b29~jfce290:wc<6d;`7?xhdmj0;6{o0:`?d13tdhih4?:0ym61vbnki:182k4>l3h37p`lf183>4}i:0n1n45rnbd2>5<6sg82h7ln;|l`b7<728qe>4j5bc9~jf`4290:wc<6d;``?xhdn=0;66=4>{o0:`?db3tdhj;4?:0ym6l3i;7p`lf983>4}i:0n1o<5rnbd:>5<6sg82h7m=;|l`bd<728qe>4j5c29~jf`e290:wc<6d;a7?xhdnj0;6{o0:`?e13tdhjh4?:0ym61vbnhi:182k4>l3i37p`k0183>4}i:0n1o45rne22>5<6sg82h7mn;|lg47<728qe>4j5cc9~ja64290:wc<6d;a`?xhc8=0;66=4>{o0:`?eb3tdo<;4?:0ym68:182k4>l3n;7p`k0983>4}i:0n1h<5rne2:>5<6sg82h7j=;|lg4d<728qe>4j5d29~ja6e290:wc<6d;f7?xhc8j0;6{o0:`?b13tdo1vbi>i:182k4>l3n37p`k1183>4}i:0n1h45rne32>5<5sg82h7jn;|lg57<728qe>4j5dc9~ja74290:wc<6d;f`?xhc9=0;66=4>{o0:`?bb3tdo=;4?:0ym64j5e19~yx{GHJqoj548c``240?zHIHp=<6t28694?6=:3=357864:x6<2=83:1>797a;4:f>{|:0>1<7>52;5;f?1002wp>4:50;296?1?k3=?>6st28694?6=:3=3h79?8:x6<2=83:1>79{|:0>1<7>52;5;a?0>?2wp>4:50;296?1?n3<246st28694?6=:3=2<78n8:x6<2=83:1>79:f;4`f>{|:0>1<7>52;56a?0d12wp>4:50;296?12l3o78l5:x6<2=83:1>79:b;4`7>{|:0>1<7>52;56e?0d92wp>4:50;296?1213478md:x6<2=83:1?7994;4a0?0c;2wp>4:50;297?11;378k1:x6<2=83:1?7992;4a4?0dn2wp>4:50;296?10;378je:x6<2=83:1>7981;4fg>{|:0>1<7>53;544?0bi3799e;4f2>{|:0>1<7>53;55`?0b<3{|:0>1<7>52;55=?0cl2wp>4:50;296?11037996;4g3>{|:0>1<7>52;551?0c=2wp>4:50;296?11j3799a;4gb>{|:091<7>52;5b4?:181>2ga2;i0qv<63;294?4=?k<1?>5r{3;0>5<72;079me;1a?x}51:0;6=4=:6a0>1650;093f1=<=1vw?7<:183>7<0kj0?56st28194?6=:3=o<7:k;|y1=6<729096:j::408y~4>;3:1<7<57e;913=zs;386=4?:384``<2j2wp>4=50;296?1b:3?m7pu=9283>5<52>o<6;:4}z0:7?6=8381;hl5699~7?4290;6?48f185`>{|:091<7>52;5e0?163tq95>4?:181>2`>2><0qv<63;294?4=?on1;l5r{3;0>5<72;0376?6;:0?x}51:0;6=4=:92a>=>50;09<5`=0j1vw?7<:183>7;3:1<7<58339=`=zs;386=4?:38;604=50;296?>5i3k<7pu=9283>5<5218n6ll4}z0:7?6=83814>=5b19~7?4290;6?47368a0>{|:091<7>52;:0g?d>3tq95>4?:181>=272kn0qv<63;294?4=0=?1o?5r{3;0>5<72;03844l6:x6<5=83:1>76;e;aa?x}51:0;6=4=:971>f`50;09<01=l=1vw?7<:183>7;3:1<7<587:9a0=zs;386=4?:38;2a4=50;296?>093on7pu=9283>5<521==6k=4}z0:7?6=83814:o5f69~7?4290;6?477g8eg>{|:091<7>52;:;7?7782wp>4=50;296?>?03;;96st28194?6=:323o7??9:x6<5=83:1>7661;33a>{|:091<7>52;::1?76:2wp>4=50;296?>>i3;:;6st28194?6=:322i7?>b:x6<5=83:1>76n3;314>{|:091<7>52;:b3?75<2wp>4=50;296?>fk3;956st28194?6=:32i<7?=d:x6<5=83:1>76m5;306>{|:091<7>52;:a=?74>2wp>4=50;296?>el3;8m6st28194?6=:32h>7?76l6;377>{|:091<7>52;:`f?7302wp>4=50;296?>dn3;?o6st28194?6=:32o87?:1:x6<5=83:1>76k8;361>{|:091<7>52;:g`?72i2wp>4=50;296?>b93;>i6st28194?6=:32n:7?93:x6<5=83:1>76ja;353>{|:091<7>52;:fb?71k2wp>4=50;296?>a;3;<<6st28194?6=:32m47?85:x6<5=83:1>76ic;34=>{|:091<7>52;;35?70m2wp>4=50;296??7=3;3>6st28194?6=:33;m7?77:x6<5=83:1>77?e;3;f>{|:091<7>52;;26?7?n2wp>4=50;296??6?3;286st28194?6=:33:n7?68:x6<5=83:1>77=0;3:`>{|:091<7>52;;10?7f92wp>4=50;296??513;j:6st28194?6=:339h7?na:x6<5=83:1>77<2;3bb>{|:091<7>52;;02?7e;2wp>4=50;296??4j3;i46st28194?6=:338j7?mc:x6<5=83:1>77;4;3`5>{|:091<7>52;;74=50;296??3l3;hm6st28194?6=:33>=7?le:x6<5=83:1>77:6;3g7>{|:091<7>52;;6e?7c?2wp>4=50;296??2n3;oo6st28194?6=:33=:7?j5:x6<5=83:1>779d;3fa>{|:091<7>52;;40?7a?2wp>4=50;296??0j38;<6st28194?6=:333>77779;026>{|:091<7>52;;:4?46j2wp>4=50;296??>?38986st28194?6=:332i7<=d:x6<5=83:1>77n5;002>{|:091<7>52;;bg?44n2wp>4=50;296??e;38?46st28194?6=:33im7<:1:x6<5=83:1>77l1;06e>{|:091<7>52;;`4=50;296??dn38=o6st28194?6=:33o:7<85:x6<5=83:1>77kd;04a>{|:091<7>52;;f0?4??2wp>4=50;296??bj382<6st28194?6=:33m>7<67:x6<5=83:1>77i9;0:a>{|:091<7>52;c34?4f=2wp>4=50;296?g7?38jo6st28194?6=:3k;i77o>5;0ae>{|:091<7>52;c2g?4d92wp>4=50;296?g5;38h46st28194?6=:3k9m77o<1;0g2>{|:091<7>52;c04=50;296?g4n38n86st28194?6=:3k?:77o;d;0e6>{|:091<7>52;c60?4a12wp>4=50;296?g2j39;<6st28194?6=:3k=>7=?7:x6<5=83:1>7o99;13a>{|:091<7>52;c44?56=2wp>4=50;296?g0?39:o6st28194?6=:3k7o75;11g>{|:091<7>52;c;g?54=2wp>4=50;296?g>;398i6st28194?6=:3k257=;4:x6<5=83:1>7o6f;17e>{|:091<7>52;cb1?5282wp>4=50;296?gfj39>:6st28194?6=:3ki=7=:c:x6<5=83:1>7om7;156>{|:091<7>52;ca`?5102wp>4=50;296?gd:39=h6st28194?6=:3kh;7=82:x6<5=83:1>7old;14<>{|:091<7>52;cg5?50k2wp>4=50;296?gc?393>6st28194?6=:3koh7=78:x6<5=83:1>7oj3;1;a>{|:091<7>52;cf;2wp>4=50;296?gbm39256st28194?6=:3km87=6f:x6<5=83:1>7oi9;1b0>{|:091<7>52;ceb?5fi2wp>4=50;296?d7:39jh6st28194?6=:3h;97=m0:x6<5=83:1>7l?8;1a7>{|:091<7>52;`3f?5e>2wp>4=50;296?d7m39i56st28194?6=:3h:=7=mc:x6<5=83:1>7l>4;1ab>{|:091<7>52;`23?5d:2wp>4=50;296?d6i39h96st28194?6=:3h:h7=l8:x6<5=83:1>7l=0;1`f>{|:091<7>52;`17?5dm2wp>4=50;296?d5>39o=6st28194?6=:3h957=k4:x6<5=83:1>7l=c;1g3>{|:091<7>52;`1b?5ci2wp>4=50;296?d4:39oh6st28194?6=:3h897=j0:x6<5=83:1>7l{|:091<7>52;`76?20;2wp>4=50;296?d303><56st28194?6=:3h?i7:8f:x6<5=83:1>7l:4;6;1>{|:091<7>52;`6e?2?j2wp>4=50;296?d183>2=6st28194?6=:3h=:7:67:x6<5=83:1>7l9c;6:`>{|:091<7>52;`45?2f:2wp>4=50;296?d0?3>j46st28194?6=:3h7l72;6a7>{|:091<7>52;`;4=50;296?d?j3>h96st28194?6=:3h3i7:l8:x6<5=83:1>7l61;6`f>{|:091<7>52;`:0?2dm2wp>4=50;296?d>?3>o=6st28194?6=:3h2m7:k4:x6<5=83:1>7l6d;6g3>{|:091<7>52;`b4?2ci2wp>4=50;296?df;3>oh6st28194?6=:3hj:7:j0:x6<5=83:1>7ln9;6f7>{|:091<7>52;`bg?2b>2wp>4=50;296?de:3>no6st28194?6=:3hi;7:i1:x6<5=83:1>7lmd;6e3>{|:091<7>52;``6?2ak2wp>4=50;296?dd03?;>6st28194?6=:3hhi7;?8:x6<5=83:1>7lk3;724>{|:091<7>52;`g4=50;296?dcl3?9<6st28194?6=:3hn?7;=9:x6<5=83:1>7lj7;704>{|:091<7>52;`fg?3402wp>4=50;296?da:3??=6st28194?6=:3hm47;;a:x6<5=83:1>7lie;767>{|:091<7>52;a30?3212wp>4=50;296?e7>3?>n6st28194?6=:3i;47;:d:x6<5=83:1>7m?a;76b>{|:091<7>52;a3g?3192wp>4=50;296?e7m3?=?6st28194?6=:3i:<7;95:x6<5=83:1>7m>2;753>{|:091<7>52;a20?3112wp>4=50;296?e6>3?=n6st28194?6=:3i:47;9d:x6<5=83:1>7m>a;75b>{|:091<7>52;a2g?3092wp>4=50;296?e6m3?7m=2;743>{|:091<7>52;a10?3012wp>4=50;296?e5>3?7m=a;6ab>{|:0?1<7>52;a1f?2d82wp>4;50;296?e5k3>h=6st28194?6=;3i897<>:318y~4>;3:1<706c2<;>68?k:405>04c2<9>68=j:464>0372:o26>kk:2d2>6`22:l26>hk:522>1622=:269:6:52g>12c2=;:69;>:536>17>2=;o69<>:576>1422=82691562=?o69=::51:>15c2=>:69:::542>1022=<27psO@Qy~DE \ No newline at end of file +$8c:5=6:2.Yi{g|inl9$4(5=1*/=<5+Rdtjwlii2);%98.+1:27?5679=1;638>0=6?I1:01?7633;:;<95=0237?745I;18>i586;:5768103C:2?=86;9546812@A92<97;;=;7;7?3?>>=1=5L;=;7C7?3GFI81<869IFG38<7=?8=13<=>;;9:E<47<03E^X][[:MGG82<768;047AZTQWW>WAE4>0;2<562:;50>?>1?;0M95N0921?D033H23495NA@;7?DGFM:1JH_;4AR[MG7=E8;1I>>5MUG68FP@@=2H^JJ^>0:@VMIBX\HXLIYO]CI:8FPUXAGLD=6M<;B376>EB:2IB96MDBTD0?FJL12IGGRLZFFRf?FJLWK_MK]!MUGE2`>EKCVH^JJ^ BTDD[L6Xe|rThbPshee?FJLWK_MK]!FBTDD7>EKZ01HC@CFTUGG<>EHFZ^JBY?>;BMMWQGI\V^YM^FLAO58GWCF\LN:7I=4D011?AOb3MXHS^[LCDQPBPJ23MXBZH?4E39FE470IU^NNEGG[FII[]XJECZ\109F\UGILLNTE^KPFHNF7>@6;:1M=9:4F@QW6>@E<2LIIL<4FE78BAEWM:1MHH=4FEP7?CBUM<1MH_]J2:DF0>@B89>0JHHN4:DFBF40JK>>4:DE052@UINIDZH\JD`9EVDAXJHYNHo5IR@E\GJHAANk0J_OH_BMVJQ>439DA425G1208LV1<@ZUDNXH>;H08M543@DBX^ZNTD18MKP?3@D]I_ZJDd9JJZH@LVKEHRLLD79JKFIJXh1BC^ZNNECQ@3=NG]S[I95FP@F0?O74;2@:8>5D1278HDRD@;1GH85CDBRF2>JBL5:5:6BJD=3=2>JBL585:6BJD=1=2>JBL5>5:6BJD=7=2>JBL5<546BJD=594;0RAMUGc8H@BX;VEIYKo4LDF\0ZIE]Ok0@HJP5^MAQCgJR\;UG86BZT248HPR4WE>0@XZ;6:NVP1YC>2F^X9QC8:NUGHIT;:90AKFXYOOm6CNP^OL@PJBl2GJ\RZFMDQWEHVe3DK[S[K]SHMM5<=JDVMNBH\NTDF\TJUS%NXJBDZJ3:OV\0=J]QIR96CZXG7e?Hgdk|gTouQly=2=57=Jiji~aRmw_b{?4;Yu|o1Fmnmzm^a{[f;97;97@olcto\g}Ydq5;5Szi;Lc`gpkXkqUhu1<1f:ObgfsjWjrTot2<>g9NefereVisSnw34?d8Ided}dUhtRmv<4KfkjfSnvPcx>4:c=Jiji~aRmw_b{?<;`3?8;Lc`gpkXkqUgyy2=>0]JJSY7991Fmnmzm^a{[iss4:4:<6Cncbwn[f~Xd|~783??;Lc`gpkXkqUgyy2:>028Ided}dUhtRbzt=4=55=Jiji~aRmw_mww828682Gjon{b_bz\hpr;07;;7@olcto\g}Yk}}622<>4M`a`qhYdpVrd~1>1109NefereVisSua}<02=55=Jiji~aRmw_ymq848682Gjon{b_bz\|jt;:7;;7@olcto\g}Yg{682<>4M`a`qhYdpVrd~1:1119NefereVisSua}<4<24>KfkjfSnvPxnp?2;773DkhoxcPcy]{kw:068:0Almlul]`|Z~hz525==5BabaviZeWqey040i;Lc`gpkXkpUhu1>1139NefereVirSnw30?]qpc=Jiji~aRmv_b{?5;`;Lc`gpkXkpUgyy2>0?33?Hgdk|gTotQcuu>2:41>0:ObgfsjWjsT`xz32?33?Hgdk|gTotQcuu>0:46028Ided}dUhuRbzt=:=55=Jiji~aRmv_mww8<8682Gjon{b_b{\|jt;87;:7@olcto\g|Yg{6:<3??;Lc`gpkXkpUsc2>>028Ided}dUhuRv`r=0=55=Jiji~aRmv_ymq868682Gjon{b_b{\|jt;<7;;7@olcto\g|Yg{6>2<>4M`a`qhYdqVrd~181119NefereVirSua}<6<24>KfkjfSnwPxnp?<;773DkhoxcPcx]{kw:>6o1Fmnmzm^ns[f;87l0Almlul]otZe~484m7@olcto\huYdq585j6Cncbwn[ivXkp682k5BabaviZjwWjs783h4M`a`qhYkxVir080i;Lc`gpkXdyUhu181f:ObgfsjWezTot28>g9NefereVf{Snw38?d8Ided}dUg|Rmv<8<24>KfkjfSa~Pltv?4;763DkhoxcPlq]oqq:687;;7@olcto\huYk}}6:2<>4M`a`qhYkxVf~x1<1119NefereVf{Sa{{<2<24>KfkjfSa~Pltv?0;773DkhoxcPlq]oqq:268:0Almlul]otZjr|5<5==5BabaviZjwWe0:0>0:ObgfsjWezT`xz38?33?Hgdk|gT`}Qcuu>::460:ObgfsjWezTtb|32?33?Hgdk|gT`}Qwos>0:46028Ided}dUg|Rv`r=:=55=Jiji~aRb_ymq8<8a3DkhoxcPrq]`}969n2Gjon{b_sr\g|:66o1Fmnmzm^ps[f;:7l0Almlul]qtZe~4:4m7@olcto\vuYdq5>5j6Cncbwn[wvXkp6>2k5BabaviZtwWjs7:3h4M`a`qhYuxVir0:0i;Lc`gpkXzyUhu161f:ObgfsjW{zTot26>028Ided}dUy|Rbzt=2=54=Jiji~aR|_mww8469991Fmnmzm^ps[iss484:<6Cncbwn[wvXd|~7>3??;Lc`gpkXzyUgyy2<>028Ided}dUy|Rbzt=6=55=Jiji~aR|_mww808682Gjon{b_sr\hpr;>7;;7@olcto\vuYk}}6<2<>4M`a`qhYuxVf~x161119NefereVx{Sa{{<8<24>KfkjfS~Pxnp?4;763DkhoxcPrq]{kw:687;;7@olcto\vuYg{6:2<>4M`a`qhYuxVrd~1<1119NefereVx{Sua}<2<24>KfkjfS~Pxnp?0;773DkhoxcPrq]{kw:268:0Almlul]qtZ~hz5<5==5BabaviZtwWqey0:0>0:ObgfsjW{zTtb|38?33?Hgdk|gT~}Qwos>::4`1^KMRZ66n2GjhiQldx]pqg6789Usc2<>03\MKPX88l0Aljk_bfz[vse89:;Sua}<5<25ZOI^V::j6Cnde]``|Yt}k:;<=Qwos>6:47XAG\T<?0^zlv91998;i7@okd^jsawYflm:;<=Qwos>4:4769h1FmijPhqgq[dbc89:;Sua}<9<254dKflmUc|h|Paef3457Xpfx7;3?>1c9NeabX`yoySljk0122[}iu4>4:=?00]{kw:?68;:n6Cnde]kt`tXimn;<=?Pxnp?<;7698i0Aljk_qpfwfgkm{Ujhi>?01]`}9799j1FmijPpsgpgdjbzVkoh=>?0^az8786n2GjhiQrdq`eicuWhno<=>?_b{?6;Yu|8i0Aljk_qpfwfgkm{Ujhi>?01]`}9599j1FmijPpsgpgdjbzVkoh=>?0^az8186n2GjhiQrdq`eicuWhno<=>?_b{?0;Yu|8i0Aljk_qpfwfgkm{Ujhi>?01]`}9399o1FmijPpsgpgdjbzVkoh=>?0^az808Xz};h7@okd^rqavefdlxTmij?012\g|:168l0Aljk_qpfwfgkm{Ujhi>?01]`}909W{~:o6Cnde]sv`udieoySljk0123[f;?7;m7@okd^rqavefdlxTmij?012\g|:06Vx=n5Baef\twctkhfn~Rokd1234Ze~414:j6Cnde]sv`udieoySljk0123[f;07Uyxd:Ob`aYwzlyhmak}_`fg4567Wqey0<0>d:Ob`aYwzlyhmak}_`fg4567Wqey0?0>d:Ob`aYwzlyhmak}_`fg4567Wqey0>0>d:Ob`aYwzlyhmak}_`fg4567Wqey090>d:Ob`aYwzlyhmak}_`fg4567Wqey080>d:Ob`aYwzlyhmak}_`fg4567Wqey0;0>d:Ob`aYwzlyhmak}_`fg4567Wqey0:0>d:Ob`aYwzlyhmak}_`fg4567Wqey050>d:Ob`aYwzlyhmak}_`fg4567Wqey040>c:Ob`aYwzlyhmak}_`fg4566Wjs7=3?l;Lcg`Zvumzij`h|Paef3457Xkp692c:Ob`aYwzlyhmak}_`fg4566Wjs7?3?l;Lcg`Zvumzij`h|Paef3457Xkp6?25Sz>c:Ob`aYwzlyhmak}_`fg4566Wjs793?i;Lcg`Zvumzij`h|Paef3457Xkp6>2R|{1b9NeabXx{oxolbjr^cg`5679Vir0;0>f:Ob`aYwzlyhmak}_`fg4566Wjs7:3Q}t0a8IdbcWyxnnoces]b`a6788Uhu1911g9NeabXx{oxolbjr^cg`5679Vir0:0Pru3`?HgclVzyi~mnldp\eab789;Tot27>0d8IdbcWyxnnoces]b`a6788Uhu161_sv2g>KflmU{~h}lamgq[dbc89::Snw39?3e?HgclVzyi~mnldp\eab789;Tot26>^pw5a=JimnT|k|c`nfvZgcl9:;=Rbzt=3=66=JimnT|k|c`nfvZgcl9:;=Rbzt=3=[LHQW9;o7@okd^rqavefdlxTmij?013\hpr;;7887@okd^rqavefdlxTmij?013\hpr;;7UBB[Q?1d9NeabXx{oxolbjr^cg`5679Vrd~1??>338IdbcWyxnnoces]b`a6788Usc2>0?]qp4b2:4b1:4b0:4b7:4b6:4b5:4b4:4b;:4b::4eRmv<3<2b>KflmU{~h}lamgq[dbc89:9Snw32?]qp4eRmv<5<2b>KflmU{~h}lamgq[dbc89:9Snw34?]qp4eRmv<7<2g>KflmU{~h}lamgq[dbc89:9Snw37?3`?HgclVzyi~mnldp\eab7898Tot27>0a8IdbcWyxnnoces]b`a678;Uhu1711e9NeabXx{oxolbjr^cg`567:Vf~x1?1229NeabXx{oxolbjr^cg`567:Vf~x1?1_HLU[57c3DkohR~}erabh`tXimn;<=2?02]`}9?99m1FmijPpsgpgdjbzVkoh=>?3^nvp979::1FmijPpsgpgdjbzVkoh=>?3^nvp979W@D]S=?k;Lcg`Zvumzij`h|Paef3455Xd|~7?3<<;Lcg`Zvumzij`h|Paef3455Xd|~7?3QFNW]35`=JimnT|k|c`nfvZgcl9:;?Rv`r=33:4bQwos>2:4bQwos>1:4bQwos>0:4bQwos>7:4bQwos>6:4bQwos>5:4bQwos>4:4bQwos>;:4bQwos>::4`?0^az858582GjhiQrdq`eicuWhnoxl?012\g|:6878;7@okd^rqavefdlxTmij|uc2345Ydq5;:2?>4M`fg[utb{jkgiQndeqvf5678Vir0<<1219NeabXx{oxolbjr^cg`vse89:;Snw312<14>KflmU{~h}lamgq[dbc{|h;<=>Pcx>20;473DkohR~}erabh`tXimnxyo>?01]`}9726;:0Aljk_qpfwfgkm{Ujhi}zb1234Ze~48<5>=5Baef\twctkhfn~Rokdrwa4567Wjs7=:0>f:Ob`aYwzlyhmak}_`fgwpd789:Tot2>>0d8IdbcWyxnnoces]b`aurj9:;KflmU{~h}lamgq[dbc{|h;<=>Pcx>0:4`?0^az8186n2GjhiQrdq`eicuWhnoxl?012\g|:268l0Aljk_qpfwfgkm{Ujhi}zb1234Ze~4?4:j6Cnde]sv`udieoySljkst`3456Xkp6<2f:Ob`aYwzlyhmak}_`fgwpd789:Tot26>328IdbcWyxnnoces]b`aurj9:;?_ymq858592GjhiQrdq`eicuWhnoxl?012\|jt;9949=6Cnde]sv`udieoySljkst`3456Xpfx7=<0=1:Ob`aYwzlyhmak}_`fgwpd789:Ttb|313<15>KflmU{~h}lamgq[dbc{|h;<=>Pxnp?568592GjhiQrdq`eicuWhnoxl?012\|jt;9=49=6Cnde]sv`udieoySljkst`3456Xpfx7=80=1:Ob`aYwzlyhmak}_`fgwpd789:Ttb|317<15>KflmU{~h}lamgq[dbc{|h;<=>Pxnp?528592GjhiQrdq`eicuWhnoxl?012\|jt;9149<6Cnde]sv`udieoySljkst`3456Xpfx7=3328IdbcWyxnnoces]b`aurj9:;?_ymq818582GjhiQrdq`eicuWhnoxl?012\|jt;=78;7@okd^rqavefdlxTmij|uc2345Yg{6=2?>4M`fg[utb{jkgiQndeqvf5678Vrd~191219NeabXx{oxolbjr^cg`vse89:;Sua}<9<14>KflmU{~h}lamgq[dbc{|h;<=>Pxnp?=;e3:4`<_b{?5586m2Ghc`~nr^kmvbdXkdzTkh>?02]`}9799l1Fobcas]jjwaeWjg{Sjk?011\g|:568o0Anabp`p\mkt`jVif|Rij0120[f;;7;n7@m`mqcq[lhuokUha}Qhe1237Ze~4=4:i6ClolrbvZoiznhTo`~Pgd2346Ydq5?5=h5BcnosewYnf{miSnc_fg3455Xkp6=2e:O`khvfzVce~jlPclr\c`678:Uhu1711g9Ngjkwi{Ubbim_bos[bc7899T`xz30?3e?HeheykySd`}gc]`iuY`m9:;?Rbzt=3=5c=Jkfg{mQfnsea[fkwWno;<==Pltv?6;7a3Dida}o}_hlqcgYdeyUli=>?3^nvp9599o1Fobcas]jjwaeWjg{Sjk?011\hpr;<7;m7@m`mqcq[lhuokUha}Qhe1237Zjr|5?5=k5BcnosewYnf{miSnc_fg3455Xd|~7:3?i;LaliuguW`dykoQlmq]da567;Vf~x1911g9Ngjkwi{Ubbim_bos[bc7899T`xz38?3e?HeheykySd`}gc]`iuY`m9:;?Rbzt=;=5`=Jkfg{mQfnsea[fkwWno;<=;Pcx>3:4`:_b{?5586m2Ghc`~nr^kmvbdXkdzTkh>?04]`}9799l1Fobcas]jjwaeWjg{Sjk?017\g|:568o0Anabp`p\mkt`jVif|Rij0126[f;;7;n7@m`mqcq[lhuokUha}Qhe1231Ze~4=4:i6ClolrbvZoiznhTo`~Pgd2340Ydq5?5=h5BcnosewYnf{miSnc_fg3453Xkp6=2e:O`khvfzVce~jlPclr\c`678?5^nvp9599o1Fobcas]jjwaeWjg{Sjk?017\hpr;<7;m7@m`mqcq[lhuokUha}Qhe1231Zjr|5?5=k5BcnosewYnf{miSnc_fg3453Xd|~7:3?i;LaliuguW`dykoQlmq]da567=Vf~x1911g9Ngjkwi{Ubbim_bos[bc789?T`xz38?3e?HeheykySd`}gc]`iuY`m9:;9Rbzt=;=5`=Jkfg{mQfnsea[fkwWno;<=8Pcx>3:4`9_b{?5586m2Ghc`~nr^kmvbdXkdzTkh>?07]`}9799l1Fobcas]jjwaeWjg{Sjk?014\g|:568o0Anabp`p\mkt`jVif|Rij0125[f;;7;n7@m`mqcq[lhuokUha}Qhe1232Ze~4=4:i6ClolrbvZoiznhTo`~Pgd2343Ydq5?5=h5BcnosewYnf{miSnc_fg3450Xkp6=2Vir050>e:O`khvfzVce~jlPclr\c`678?Uhu1711g9Ngjkwi{Ubbim_bos[bc789?6^nvp9599o1Fobcas]jjwaeWjg{Sjk?014\hpr;<7;m7@m`mqcq[lhuokUha}Qhe1232Zjr|5?5=k5BcnosewYnf{miSnc_fg3450Xd|~7:3?i;LaliuguW`dykoQlmq]da567>Vf~x1911g9Ngjkwi{Ubbim_bos[bc7893:4`8_b{?5586m2Ghc`~nr^kmvbdXkdzTkh>?06]`}9799l1Fobcas]jjwaeWjg{Sjk?015\g|:568o0Anabp`p\mkt`jVif|Rij0124[f;;7;n7@m`mqcq[lhuokUha}Qhe1233Ze~4=4:i6ClolrbvZoiznhTo`~Pgd2342Ydq5?5=h5BcnosewYnf{miSnc_fg3451Xkp6=2e:O`khvfzVce~jlPclr\c`678>Uhu1711g9Ngjkwi{Ubbim_bos[bc789=T`xz30?3e?HeheykySd`}gc]`iuY`m9:;;Rbzt=3=5c=Jkfg{mQfnsea[fkwWno;<=9Pltv?6;7a3Dida}o}_hlqcgYdeyUli=>?7^nvp9599o1Fobcas]jjwaeWjg{Sjk?015\hpr;<7;m7@m`mqcq[lhuokUha}Qhe1233Zjr|5?5=k5BcnosewYnf{miSnc_fg3451Xd|~7:3?i;LaliuguW`dykoQlmq]da567?Vf~x1911g9Ngjkwi{Ubbim_bos[bc789=T`xz38?3e?HeheykySd`}gc]`iuY`m9:;;Rbzt=;=5`=Jkfg{mQfnsea[fkwWeo;<==Pcx>3:4`<_b{?5586m2Ghc`~nr^kmvbdXkdzT`h>?02]`}9799l1Fobcas]jjwaeWjg{Sak?011\g|:568o0Anabp`p\mkt`jVif|Rbj0120[f;;7;n7@m`mqcq[lhuokUha}Qce1237Ze~4=4:i6ClolrbvZoiznhTo`~Pld2346Ydq5?5=h5BcnosewYnf{miSnc_mg3455Xkp6=2e:O`khvfzVce~jlPclr\h`678:Uhu1711g9Ngjkwi{Ubbim_bos[ic7899T`xz30?3e?HeheykySd`}gc]`iuYkm9:;?Rbzt=3=5c=Jkfg{mQfnsea[fkwWeo;<==Pltv?6;7a3Dida}o}_hlqcgYdeyUgi=>?3^nvp9599o1Fobcas]jjwaeWjg{Sak?011\hpr;<7;m7@m`mqcq[lhuokUha}Qce1237Zjr|5?5=k5BcnosewYnf{miSnc_mg3455Xd|~7:3?i;LaliuguW`dykoQlmq]oa567;Vf~x1911g9Ngjkwi{Ubbim_bos[ic7899T`xz38?3e?HeheykySd`}gc]`iuYkm9:;?Rbzt=;=5`=Jkfg{mQfnsea[fkwWeo;<=;Pcx>3:4`:_b{?5586m2Ghc`~nr^kmvbdXkdzT`h>?04]`}9799l1Fobcas]jjwaeWjg{Sak?017\g|:568o0Anabp`p\mkt`jVif|Rbj0126[f;;7;n7@m`mqcq[lhuokUha}Qce1231Ze~4=4:i6ClolrbvZoiznhTo`~Pld2340Ydq5?5=h5BcnosewYnf{miSnc_mg3453Xkp6=2e:O`khvfzVce~jlPclr\h`678?5^nvp9599o1Fobcas]jjwaeWjg{Sak?017\hpr;<7;m7@m`mqcq[lhuokUha}Qce1231Zjr|5?5=k5BcnosewYnf{miSnc_mg3453Xd|~7:3?i;LaliuguW`dykoQlmq]oa567=Vf~x1911g9Ngjkwi{Ubbim_bos[ic789?T`xz38?3e?HeheykySd`}gc]`iuYkm9:;9Rbzt=;=5`=Jkfg{mQfnsea[fkwWeo;<=8Pcx>3:4`9_b{?5586m2Ghc`~nr^kmvbdXkdzT`h>?07]`}9799l1Fobcas]jjwaeWjg{Sak?014\g|:568o0Anabp`p\mkt`jVif|Rbj0125[f;;7;n7@m`mqcq[lhuokUha}Qce1232Ze~4=4:i6ClolrbvZoiznhTo`~Pld2343Ydq5?5=h5BcnosewYnf{miSnc_mg3450Xkp6=2Vir050>e:O`khvfzVce~jlPclr\h`678?Uhu1711g9Ngjkwi{Ubbim_bos[ic789?6^nvp9599o1Fobcas]jjwaeWjg{Sak?014\hpr;<7;m7@m`mqcq[lhuokUha}Qce1232Zjr|5?5=k5BcnosewYnf{miSnc_mg3450Xd|~7:3?i;LaliuguW`dykoQlmq]oa567>Vf~x1911g9Ngjkwi{Ubbim_bos[ic7893:4`8_b{?5586m2Ghc`~nr^kmvbdXkdzT`h>?06]`}9799l1Fobcas]jjwaeWjg{Sak?015\g|:568o0Anabp`p\mkt`jVif|Rbj0124[f;;7;n7@m`mqcq[lhuokUha}Qce1233Ze~4=4:i6ClolrbvZoiznhTo`~Pld2342Ydq5?5=h5BcnosewYnf{miSnc_mg3451Xkp6=2e:O`khvfzVce~jlPclr\h`678>Uhu1711g9Ngjkwi{Ubbim_bos[ic789=T`xz30?3e?HeheykySd`}gc]`iuYkm9:;;Rbzt=3=5c=Jkfg{mQfnsea[fkwWeo;<=9Pltv?6;7a3Dida}o}_hlqcgYdeyUgi=>?7^nvp9599o1Fobcas]jjwaeWjg{Sak?015\hpr;<7;m7@m`mqcq[lhuokUha}Qce1233Zjr|5?5=k5BcnosewYnf{miSnc_mg3451Xd|~7:3?i;LaliuguW`dykoQlmq]oa567?Vf~x1911g9Ngjkwi{Ubbim_bos[ic789=T`xz38?3e?HeheykySd`}gc]`iuYkm9:;;Rbzt=;=5g=Jkfg{mQcp^antZjb89:;Snw30?3a?HeheykySa~Pclr\h`6789Uhu1?11c9Ngjkwi{Ug|Rmbp^nf4567Wjs7>3?m;LaliuguWezTo`~Pld2345Ydq595=n5BcnosewYkxVif|Rbj0123[iss494:o6ClolrbvZjwWjg{Sak?012\hpr;97;h7@m`mqcq[ivXkdzT`h>?01]oqq:568i0Anabp`p\huYdeyUgi=>?0^nvp959::1Fobcas]otZejxVfn<=>?_mww8686W@D]S=<=;LaliuguWyxnnoces]`iuY`m9:;=Rmv<1<17>Kdgdzj~R~}erabh`tXkdzTkh>?00]`}9776;80Anabp`p\twctkhfn~Rmbp^ef4566Wjs7=3<=;LaliuguWyxnnoces]`iuY`m9:;=Rmv<3<16>Kdgdzj~R~}erabh`tXkdzTkh>?00]`}959:;1Fobcas]sv`udieoySnc_fg3457Xkp6?2?<4MbmntdtXx{oxolbjr^antZab89::Snw35?01?HeheykyS}|jsbcoawYdeyUli=>?1^az8385:2Ghc`~nr^rqavefdlxTo`~Pgd2344Ydq5=5>?5BcnosewYwzlyhmak}_bos[bc789;Tot27>308IfijxhxT|k|c`nfvZejxVmn<=>>_b{?=;443Dida}o}_qpfwfgkm{Uha}Qhe1235Zjr|5:5>95BcnosewYwzlyhmak}_bos[bc789;T`xz311<17>Kdgdzj~R~}erabh`tXkdzTkh>?00]oqq:66;90Anabp`p\twctkhfn~Rmbp^ef4566We0?0=3:O`khvfzVzyi~mnldp\ghvXol:;<0:75318IfijxhxT|k|c`nfvZejxVmn<=>>_mww8385;2Ghc`~nr^rqavefdlxTo`~Pgd2344Yk}}6<2?=4MbmntdtXx{oxolbjr^antZab89::Sa{{<9<17>Kdgdzj~R~}erabh`tXkdzTkh>?00]oqq:>6;80Anabp`p\twctkhfn~Rmbp^ef4564Wjs7<3<<;LaliuguWyxnnoces]`iuY`m9:;?Rmv<02=67=Jkfg{mQrdq`eicuWjg{Sjk?011\g|:66;80Anabp`p\twctkhfn~Rmbp^ef4564Wjs7>3<=;LaliuguWyxnnoces]`iuY`m9:;?Rmv<2<16>Kdgdzj~R~}erabh`tXkdzTkh>?02]`}929:;1Fobcas]sv`udieoySnc_fg3455Xkp6>2?<4MbmntdtXx{oxolbjr^antZab89:8Snw36?01?HeheykyS}|jsbcoawYdeyUli=>?3^az8285:2Ghc`~nr^rqavefdlxTo`~Pgd2346Ydq525>?5BcnosewYwzlyhmak}_bos[bc7899Tot26>318IfijxhxT|k|c`nfvZejxVmn<=><_mww8585<2Ghc`~nr^rqavefdlxTo`~Pgd2346Yk}}6:<3<<;LaliuguWyxnnoces]`iuY`m9:;?Rbzt=3=66=Jkfg{mQrdq`eicuWjg{Sjk?011\hpr;:7887@m`mqcq[utb{jkgiQlmq]da567;Vf~x1=1229Ngjkwi{U{~h}lamgq[fkwWno;<==Pltv?0;443Dida}o}_qpfwfgkm{Uha}Qhe1237Zjr|5?5>>5BcnosewYwzlyhmak}_bos[bc7899T`xz36?00?HeheykyS}|jsbcoawYdeyUli=>?3^nvp919::1Fobcas]sv`udieoySnc_fg3455Xd|~743<<;LaliuguWyxnnoces]`iuY`m9:;?Rbzt=;=67=Jkfg{mQrdq`eicuWjg{Sjk?016\g|:76;80Anabp`p\twctkhfn~Rmbp^ef4563Wjs7=3<=;LaliuguWyxnnoces]`iuY`m9:;8Rmv<3<16>Kdgdzj~R~}erabh`tXkdzTkh>?05]`}959:;1Fobcas]sv`udieoySnc_fg3452Xkp6?2?=4MbmntdtXx{oxolbjr^antZab89:?Sa{{<1<17>Kdgdzj~R~}erabh`tXkdzTkh>?05]oqq:66;90Anabp`p\twctkhfn~Rmbp^ef4563We0?0=3:O`khvfzVzyi~mnldp\ghvXol:;<9Qcuu>0:7?;_mww8185i2Ghc`~nr^rqavefdlxTo`~Pgd2341Yk}}6?2Kdgdzj~R~}erabh`tXkdzT`h>?01]`}959:<1Fobcas]sv`udieoySnc_mg3456Xkp682R|{239Ngjkwi{U{~h}lamgq[fkwWeo;<=>Pcx>7:747@m`mqcq[utb{jkgiQlmq]oa5678Vir080Pru01?HeheykyS}|jsbcoawYdeyUgi=>?0^az8385;2Ghc`~nr^rqavefdlxTo`~Pld2345Yk}}6;2?74MbmntdtXx{oxolbjr^antZjb89:;Sa{{<1<\MKPX8;90Anabp`p\twctkhfn~Rmbp^nf4567We0<0=3:O`khvfzVzyi~mnldp\ghvXdl:;<=Qcuu>1:753c8IfijxhxT|k|c`nfvZejxVfn<=>?_mww8386W@D]S=<=;LaliuguWyxnnoces]`iuYkm9:;=Rmv<1<17>Kdgdzj~R~}erabh`tXkdzT`h>?00]`}9776;80Anabp`p\twctkhfn~Rmbp^nf4566Wjs7=3<=;LaliuguWyxnnoces]`iuYkm9:;=Rmv<3<16>Kdgdzj~R~}erabh`tXkdzT`h>?00]`}959:;1Fobcas]sv`udieoySnc_mg3457Xkp6?2?<4MbmntdtXx{oxolbjr^antZjb89::Snw35?01?HeheykyS}|jsbcoawYdeyUgi=>?1^az8385:2Ghc`~nr^rqavefdlxTo`~Pld2344Ydq5=5>?5BcnosewYwzlyhmak}_bos[ic789;Tot27>308IfijxhxT|k|c`nfvZejxVfn<=>>_b{?=;443Dida}o}_qpfwfgkm{Uha}Qce1235Zjr|5:5>95BcnosewYwzlyhmak}_bos[ic789;T`xz311<17>Kdgdzj~R~}erabh`tXkdzT`h>?00]oqq:66;90Anabp`p\twctkhfn~Rmbp^nf4566We0?0=3:O`khvfzVzyi~mnldp\ghvXdl:;<0:75318IfijxhxT|k|c`nfvZejxVfn<=>>_mww8385;2Ghc`~nr^rqavefdlxTo`~Pld2344Yk}}6<2?=4MbmntdtXx{oxolbjr^antZjb89::Sa{{<9<17>Kdgdzj~R~}erabh`tXkdzT`h>?00]oqq:>6;80Anabp`p\twctkhfn~Rmbp^nf4565Wjs7<3<<;LaliuguWyxnnoces]`iuYkm9:;>Rmv<1<261=Jkfg{mQrdq`eicuWjg{Sak?010\g|:76V:9>6ClolrbvZvumzij`h|Pclr\h`678;Uhu1?1229Ngjkwi{U{~h}lamgq[fkwWeo;<=2:4433Dida}o}_qpfwfgkm{Uha}Qce1236Ze~484T?2^az8786:=1Fobcas]sv`udieoySnc_mg3454Xkp692R>=2:O`khvfzVzyi~mnldp\ghvXdl:;368IfijxhxT|k|c`nfvZejxVfn<=>=_mww8586::1Fobcas]sv`udieoySnc_mg3454Xd|~7=3<;;LaliuguWyxnnoces]`iuYkm9:;>Rbzt=3=575000?HeheykyS}|jsbcoawYdeyUgi=>?2^nvp959:=1Fobcas]sv`udieoySnc_mg3454Xd|~7?3?=b:O`khvfzVzyi~mnldp\ghvXdl:;0:47XAG\TQly=33:74Qly=1=67=Jkfg{mQrdq`eicuWjg{Sak?011\g|:36;80Anabp`p\twctkhfn~Rmbp^nf4564Wjs793<=;LaliuguWyxnnoces]`iuYkm9:;?Rmv<7<16>Kdgdzj~R~}erabh`tXkdzT`h>?02]`}919:;1Fobcas]sv`udieoySnc_mg3455Xkp632?<4MbmntdtXx{oxolbjr^antZjb89:8Snw39?00?HeheykyS}|jsbcoawYdeyUgi=>?3^nvp969:=1Fobcas]sv`udieoySnc_mg3455Xd|~7==0=3:O`khvfzVzyi~mnldp\ghvXdl:;<>Qcuu>2:75318IfijxhxT|k|c`nfvZejxVfn<=><_mww8185;2Ghc`~nr^rqavefdlxTo`~Pld2346Yk}}6>2?=4MbmntdtXx{oxolbjr^antZjb89:8Sa{{<7<17>Kdgdzj~R~}erabh`tXkdzT`h>?02]oqq:06;90Anabp`p\twctkhfn~Rmbp^nf4564We050=3:O`khvfzVzyi~mnldp\ghvXdl:;<>Qcuu>::4dKdgdzj~R|_bos[ic789:Tot2>>0`8IfijxhxT~}Qlmq]oa5678Vir0?0>b:O`khvfzVx{Snc_mg3456Xkp682?01]oqq:46;90Anabp`p\vuYdeyUgi=>?0^nvp9599VCEZR>>c:O`khvfzVydbRmbp^nw4561Wjs7<3?l;LaliuguWzeeSnc_mv3450Xkp6:2?07]`}9099m1Fobcas]pkkYdeyUgx=>?6^nvp9799m1Fobcas]pkkYdeyUgx=>?6^nvp959:81Fobcas]pkkYdeyUgx=>?6^nvp959WZ];=i5BcnosewYtggUha}Qct1232Zjr|5>5><5BcnosewYtggUha}Qct1232Zjr|5>5S^Y?1e9Ngjkwi{UxccQlmq]op567>Vf~x1;1209Ngjkwi{UxccQlmq]op567>Vf~x1;1_RU35a=Jkfg{mQ|oo]`iuYk|9:;:Rbzt=4=64=Jkfg{mQ|oo]`iuYk|9:;:Rbzt=4=[VQ7k2Gbbb|Yesqjkk7b3Dy~nRfes]pqg6789Usc2>0?3\WR66i2GxyoQgpdp\wpd789:Ttb|38?325<=J{|hTd}k}_rwa4567Wqey040>1e9NwpdX`yoyS~{m0123[}iu404:S^Y?1d9NwpdX`yoyS~{m0122[}iu48:5=R]X00c8IvseWazn~R}zb1235Z~hz525=?00]{kw:>68;o7@}zb^jsawYt}k:;<::4YT_9;>7@}zb^ns[vse89:;Snw30?36?HurjVf{S~{m0123[f;97;>7@}zb^ns[vse89:;Snw32?36?HurjVf{S~{m0123[f;;7;>7@}zb^ns[vse89:;Snw34?36?HurjVf{S~{m0123[f;=7;>7@}zb^ns[vse89:;Snw36?36?HurjVf{S~{m0123[f;?7;>7@}zb^ns[vse89:;Snw38?36?HurjVf{S~{m0123[f;17;=7@}zb^ns[vse89:;Sa{{<1<23>Kt}kUg|R}zb1234Zjr|5;;2<84Mrwa[ivX{|h;<=>Pltv?5;713Dy~nRb_rwa4567We0?0>6:OpqgYkxVy~n=>?0^nvp9599?1FxlPlq]pqg6789Ugyy2;>048IvseWezTxl?012\hpr;=7;=7@}zb^ns[vse89:;Sa{{<7<22>Kt}kUg|R}zb1234Zjr|5=5=;5Bst`\huYt}k:;<=Qcuu>;:40?_ymq8586?2GxyoQcp^qvf5678Vrd~1??>048IvseWezTxl?012\|jt;97;=7@}zb^ns[vse89:;Sua}<3<22>Kt}kUg|R}zb1234Z~hz595=;5Bst`\huYt}k:;<=Qwos>7:40?_ymq8386>2GxyoQcp^qvf5678Vrd~191179NwpdXdyUxyo>?01]{kw:?68<0A~{m_mr\wpd789:Ttb|39?3`?HurjVzyi~mnldp\wpd789:Tot2>>0d8IvseWyxnnoces]pqg6789Uhu1?1_sv2g>Kt}kU{~h}lamgq[vse89:;Snw32?3`?HurjVzyi~mnldp\wpd789:Tot2<>0d8IvseWyxnnoces]pqg6789Uhu1=1_sv2g>Kt}kU{~h}lamgq[vse89:;Snw34?3`?HurjVzyi~mnldp\wpd789:Tot2:>0a8IvseWyxnnoces]pqg6789Uhu1811b9NwpdXx{oxolbjr^qvf5678Vir0:0>c:OpqgYwzlyhmak}_rwa4567Wjs743?l;LqvfZvumzij`h|Pst`3456Xkp6221:751:ZOI^V::h6C|uc]sv`udieoyS~{m0123[iss4=49?6C|uc]sv`udieoyS~{m0123[iss4=4TECXP00f8IvseWyxnnoces]pqg6789Ugyy2:>318IvseWyxnnoces]pqg6789Ugyy2:>^KMRZ66l2GxyoQrdq`eicuWzi<=>?_mww8385;2GxyoQrdq`eicuWzi<=>?_mww838XAG\T<Kt}kU{~h}lamgq[vse89:;Sa{{<9<17>Kt}kU{~h}lamgq[vse89:;Sa{{<9<\MKPX88n0A~{m_qpfwfgkm{Uxyo>?01]oqq:>6;90A~{m_qpfwfgkm{Uxyo>?01]oqq:>6VCEZR>>e:OpqgYwzlyhmak}_rwa4567Wqey0<>11e9NwpdXx{oxolbjr^qvf5678Vrd~1?11e9NwpdXx{oxolbjr^qvf5678Vrd~1<11e9NwpdXx{oxolbjr^qvf5678Vrd~1=11e9NwpdXx{oxolbjr^qvf5678Vrd~1:11e9NwpdXx{oxolbjr^qvf5678Vrd~1;11e9NwpdXx{oxolbjr^qvf5678Vrd~1811e9NwpdXx{oxolbjr^qvf5678Vrd~1911e9NwpdXx{oxolbjr^qvf5678Vrd~1611e9NwpdXx{oxolbjr^qvf5678Vrd~1711b9NwpdXx{oxolbjr^qvf5679Vir0<0>f:OpqgYwzlyhmak}_rwa4566Wjs7=3Q}t0a8IvseWyxnnoces]pqg6788Uhu1<11b9NwpdXx{oxolbjr^qvf5679Vir0>0>f:OpqgYwzlyhmak}_rwa4566Wjs7?3Q}t0a8IvseWyxnnoces]pqg6788Uhu1:11b9NwpdXx{oxolbjr^qvf5679Vir080>c:OpqgYwzlyhmak}_rwa4566Wjs7:3?l;LqvfZvumzij`h|Pst`3457Xkp6<295Bst`\twctkhfn~R}zb1235Zjr|5;;2RGAV^22`>Kt}kU{~h}lamgq[vse89::Sa{{<3<17>Kt}kU{~h}lamgq[vse89::Sa{{<3<\MKPX88n0A~{m_qpfwfgkm{Uxyo>?00]oqq:36;90A~{m_qpfwfgkm{Uxyo>?00]oqq:36VCEZR>>d:OpqgYwzlyhmak}_rwa4566We080=3:OpqgYwzlyhmak}_rwa4566We080PIOT\44b5:755:ZOI^V::h6C|uc]sv`udieoyS~{m0122[iss4>49?6C|uc]sv`udieoyS~{m0122[iss4>4TECXP00f8IvseWyxnnoces]pqg6788Ugyy27>318IvseWyxnnoces]pqg6788Ugyy27>^KMRZ66l2GxyoQrdq`eicuWzi<=>>_mww8<85;2GxyoQrdq`eicuWzi<=>>_mww8<8XAG\T<3?k;LqvfZvumzij`h|Pst`3457Xpfx7?3?k;LqvfZvumzij`h|Pst`3457Xpfx783?k;LqvfZvumzij`h|Pst`3457Xpfx793?k;LqvfZvumzij`h|Pst`3457Xpfx7:3?k;LqvfZvumzij`h|Pst`3457Xpfx7;3?k;LqvfZvumzij`h|Pst`3457Xpfx743?k;LqvfZvumzij`h|Pst`3457Xpfx753?l;LqvfZvumzij`h|Pst`3454Xkp6:2c:OpqgYwzlyhmak}_rwa4565Wjs7>3?l;LqvfZvumzij`h|Pst`3454Xkp682c:OpqgYwzlyhmak}_rwa4565Wjs783?l;LqvfZvumzij`h|Pst`3454Xkp6>2c:OpqgYwzlyhmak}_rwa4565Wjs7:3?l;LqvfZvumzij`h|Pst`3454Xkp6<2>5Bst`\twctkhfn~R}zb1236Zjr|585SD@Y_13g?HurjVzyi~mnldp\wpd7898T`xz34?00?HurjVzyi~mnldp\wpd7898T`xz34?]JJSY79m1FxlPpsgpgdjbzVy~n=>?2^nvp9099m1FxlPpsgpgdjbzVy~n=>?2^nvp9199m1FxlPpsgpgdjbzVy~n=>?2^nvp9>99m1FxlPpsgpgdjbzVy~n=>?2^nvp9?99l1FxlPpsgpgdjbzVy~n=>?2^zlv97768n0A~{m_qpfwfgkm{Uxyo>?03]{kw:668n0A~{m_qpfwfgkm{Uxyo>?03]{kw:568n0A~{m_qpfwfgkm{Uxyo>?03]{kw:468n0A~{m_qpfwfgkm{Uxyo>?03]{kw:368n0A~{m_qpfwfgkm{Uxyo>?03]{kw:268n0A~{m_qpfwfgkm{Uxyo>?03]{kw:168n0A~{m_qpfwfgkm{Uxyo>?03]{kw:068n0A~{m_qpfwfgkm{Uxyo>?03]{kw:?68n0A~{m_qpfwfgkm{Uxyo>?03]{kw:>68i0A~{m_qpfwfgkm{Uxyo>?02]`}9799o1FxlPpsgpgdjbzVy~n=>?3^az848Xz};h7@}zb^rqavefdlxTxl?011\g|:568i0A~{m_qpfwfgkm{Uxyo>?02]`}9599o1FxlPpsgpgdjbzVy~n=>?3^az868Xz};h7@}zb^rqavefdlxTxl?011\g|:368i0A~{m_qpfwfgkm{Uxyo>?02]`}9399o1FxlPpsgpgdjbzVy~n=>?3^az808Xz};h7@}zb^rqavefdlxTxl?011\g|:168i0A~{m_qpfwfgkm{Uxyo>?02]`}9199j1FxlPpsgpgdjbzVy~n=>?3^az8=86k2GxyoQrdq`eicuWzi<=><_b{?=;7b3Dy~nR~}erabh`tX{|h;<==Pltv?5586l2GxyoQrdq`eicuWzi<=><_mww8785;2GxyoQrdq`eicuWzi<=><_mww878XAG\T<Kt}kU{~h}lamgq[vse89:8Sa{{<7<2`>Kt}kU{~h}lamgq[vse89:8Sa{{<6<2`>Kt}kU{~h}lamgq[vse89:8Sa{{<9<2`>Kt}kU{~h}lamgq[vse89:8Sa{{<8<2a>Kt}kU{~h}lamgq[vse89:8Sua}<02=5a=J{|hT|k|c`nfvZurj9:;?Rv`r=3=5a=J{|hT|k|c`nfvZurj9:;?Rv`r=0=5a=J{|hT|k|c`nfvZurj9:;?Rv`r=1=5a=J{|hT|k|c`nfvZurj9:;?Rv`r=6=5a=J{|hT|k|c`nfvZurj9:;?Rv`r=7=5a=J{|hT|k|c`nfvZurj9:;?Rv`r=4=5a=J{|hT|k|c`nfvZurj9:;?Rv`r=5=5a=J{|hT|k|c`nfvZurj9:;?Rv`r=:=5a=J{|hT|k|c`nfvZurj9:;?Rv`r=;=50=J{|hT~}Q|uc2345Ydq5:5=85Bst`\vuYt}k:;<=Qly=3=50=J{|hT~}Q|uc2345Ydq585=85Bst`\vuYt}k:;<=Qly=1=50=J{|hT~}Q|uc2345Ydq5>5=85Bst`\vuYt}k:;<=Qly=7=50=J{|hT~}Q|uc2345Ydq5<5=85Bst`\vuYt}k:;<=Qly=5=50=J{|hT~}Q|uc2345Ydq525=85Bst`\vuYt}k:;<=Qly=;=53=J{|hT~}Q|uc2345Yk}}6;2<94Mrwa[wvX{|h;<=>Pltv?5586>2GxyoQ}p^qvf5678Vf~x1?1179NwpdXzyUxyo>?01]oqq:568<0A~{m_sr\wpd789:T`xz33?35?HurjVx{S~{m0123[iss4=4::6C|uc]qtZurj9:;Pltv?3;713Dy~nR|_rwa4567We050>6:OpqgYuxVy~n=>?0^nvp9?99?1FxlPrq]pqg6789Usc2?>058IvseW{zTxl?012\|jt;994::6C|uc]qtZurj9:;Pxnp?7;713Dy~nR|_rwa4567Wqey090>6:OpqgYuxVy~n=>?0^zlv9399?1FxlPrq]pqg6789Usc29>048IvseW{zTxl?012\|jt;?7;=7@}zb^ps[vse89:;Sua}<9<22>Kt}kUy|R}zb1234Z~hz535>6@>4:L24420468J460<2D:<4;4N03251=I989?7C?>529M5723468J450<2D:?4=4N067?K739=1E=9=;;O3711=I9==?7C?;959M50533G;>895A1477?K72>=1E=89;;O36<1=I9<387C?94:L2255H50:1E?==4N200?K51:2D??6@;029M015H28:1E9?=4N460?K31;2D>4?5A629M255>90B:?<;O567>H01;1E4>5A8018J=543G2>?6@7729M<<590B478;OGWSJTL02DDXR^GYR38K4`,-.yA9 B?$%'I1(+,{O6"@9"'D?&)((}M7/6=2E6$%&qI1(}M4/,A9#!E?'>6:M>,-.yA9 uE<'$I1+)zL4.9k1D1%&'~H2)zL5.#!C;&D<&)+|J5,733F7#$D>%I0+(,L4-v@9"%9m4O<*+zL6- !tB='&F2*K0-,-/A8 #rD<%~H1*-,/, @:!$%pF1+*}M7,yA:#"'%G>*)K1/L5.! #">o5@=)*}M5,/A8 #E?$F3(+*/-O7"!tB=&&qI3)}M6/.! 8;7B3'(K3.-O6"@8"%&&F0+*}M4-yA;#"%<:4O<*+zL6- @;!E?'&+H1*65=H5!"uE=$'I0(}M7/.#!C;&%pF1*K1-,/5j2E6$%pF0+*J5..N:"C8%$'$(H2),{O6"!tB>'pF3(+*-7d%(H3(,L4,v@9"%$%'I1(+zL7- wC9&D=&)(+17>I: !tB<'&F1*K1-,-/A9 #E<%'I3)J7,/.!;:0C0&'~H2),L7,A;#"'%G?*)|J5/xN: #">=5@=)*}M5,/A8!uE?'&+)K3.-xN9#C9%$'=b:M>,-xN8#"uE<$'~H0)zL5.! !#E=$'I0)+M7-N; #"%?94O<*+zL6- wC:&sG=)()+M5,/v@;!$sG=*H1*-,/6>2E6$%pF0+*}M4,yA;#"'D=&169L9-.yA9 #rD?%~H0*-.xN; 897B3'(K3.{O6!""B<'&qI0(+zL4-v@9"%$'>1:M>,-xN8#tB=$%qI3+2=>I: @:!$%&qI0(J7,-N9 B>$'>4:M>,L6- !C:LD<&*K0-,743F7#E=$'I0(+M7,N; #"=95@=)K3.-O6"!C9&sG<)(+f?J;/A9 #E<$F2(+e?J;/A9 #E<$qI3+*56=H5!C;&%G>+)K1.L5.! ;?7B3'I1(+zL7- @8!E>'&)g9L9-O7"!tB='G=)(33?J;/A9 #rD?%~H0*-40*H0*-45*K0-,FN: #:?6A2(H2C,L7G @8KE>'&)d9L9-O7H!C:LD<&)g9L9-O7H!C:LsG=)(;8K8.N8IC:%l5@=)K3D{O6!;<0C0&qI1(+,{O6"!C9'D=&)**J5/.yA; uE>'&)(36?J;/v@:!$sG>*)K1/L5.! ;<7B3'~H2),{O6"!tB>'pF3(+*54=H5!tB<'&qI0(}M7/.j2E6$sG?*K2-432E6$sG?+)K2.-xN:#tB?$'&169L9-xN8""uE<%'~H0(zL5.! k0C0&qI1)J5,d0CO[I3:MMA1=HXHN87B[[1:R5?U(6=ql37] >5yd\H7=W<;1[:?5_729SEA2;S58V@KH^LN:<6\JMNTF@ZRUIZBHMC??;SGQAVIK^LU[M_OBS79QCG:76?1YKO2>>79QCG:56?1YKO2<>79QCG:36?1YKO2:>79QCG:1611YKO28:1<5?WAE4>4j7_IM_1]LFP@f3[MIS1a:Pfwpjs494:m6\jstnw8585i2Xnxb{<1<0e>Tb{|f0<>1b:Pfwpjs48:5=o5]erwop9776;h0^h}zlu>24;5>3[oxyaz31?c8V`urd}6:22:6?`9Qavsk|585=l5]erwop949:h1Yi~{ct=0=7<=Umzgx1=1a:Pfwpjs4:4:m6\jstnw8685i2Xnxb{<2<0=>Tb{|f090n;Sgpqir;<7;j7_k|umv?0;4f3[oxyaz34?1:?Wct}e~793o4Rdqvhq:268k0^h}zlu>6:7g2;8V`urd}6=2l5]erwop9099h1Yi~{ct=4=6d=Umzgx181389Qavsk|5=5m6\jstnw8286i2Xnxb{<6<1e>Tb{|f0:0<9:Pfwpjs414j7_k|umv?<;7f3[oxyaz38?0b?Wct}e~743=6;Sgpqir;17k0^h}zlu>::4g3c8V`urd}622>?4S29PAI5<[L^87^HI9:QJCZEH]G^?7^BJW29PJN5<[[Io7^\L_IKFVDTD@P>0__][4:QPAQ><[]_HGRO[4:QZJF?<[PDHSLZ[Rd9Pt`glm{Nye{k}/3c8WucfclxO~dxjr.Ob`aYwzlyhmak}_`fg4567Wjs7<3o5\pdchawBuaoy#@okd^rqavefdlxTmij?012\g|:6978i7^~jajgq@woqm{%FmijPpsgpgdjbzVkoh=>?0^az8449:k1X|hodesFqmscu'DkohR~}erabh`tXimn;<=>Pcx>27;4e3Zznmfk}Dskuaw)JimnT|k|c`nfvZgcl9:;5?0a?VvbiboyHgyes-NeabXx{oxolbjr^cg`5678Vir0<812c9Pt`glm{Nye{k}/Lcg`Zvumzij`h|Paef3456Xkp6:;3o5\pdchawBuaoy#@okd^rqavefdlxTmij?012\g|:6178j7^~jajgq@woqm{%FmijPpsgpgdjbzVkoh=>?0^az8485j2Y{ilejrEpjr`t(EhnoS}|jsbcoawYflm:;<=Qly=03:7d<[yojgh|Krhtfv*KflmU{~h}lamgq[dbc89:;Snw320<1f>Uwmhan~I|fvdp,IdbcWyxnnoces]b`a6789Uhu1<=>3`8WucfclxO~dxjr.Ob`aYwzlyhmak}_`fg4567Wjs7>>0=a:QsadmbzMxbzh| M`fg[utb{jkgiQnde2345Ydq585>l5\pdchawBuaoy#@okd^rqavefdlxTmij?012\g|:46;k0_}knkdpGvlpbz&GjhiQrdq`eicuWhno<=>?_b{?0;4f3Zznmfk}Dskuaw)JimnT|k|c`nfvZgcl9:;Uwmhan~I|fvdp,IdbcWyxnnoces]b`a6789Uhu1812`9Pt`glm{Nye{k}/Lcg`Zvumzij`h|Paef3456Xkp6<2?o4Sqgbo`tCz`|n~"Cnde]sv`udieoySljk0123[f;078j7^~jajgq@woqm{%FmijPpsgpgdjbzVkoh=>?0^az8<85j2Y{ilejrEpjr`t(EhnoS}|jsbcoawYflm:;<=Qcuu>3:7d<[yojgh|Krhtfv*KflmU{~h}lamgq[dbc89:;Sua}<1<1g>Uwmhan~I|fvdp,IdbcWyxnnoces]b`a6789Usc2>0?0`?VvbiboyHgyes-NeabXx{oxolbjr^cg`5678Vrd~1?>>3a8WucfclxO~dxjr.Ob`aYwzlyhmak}_`fg4567Wqey0<<12b9Pt`glm{Nye{k}/Lcg`Zvumzij`h|Paef3456Xpfx7=>0=c:QsadmbzMxbzh| M`fg[utb{jkgiQnde2345Yg{6:832?m4Sqgbo`tCz`|n~"Cnde]sv`udieoySljk0123[}iu48<5>n5\pdchawBuaoy#@okd^rqavefdlxTmij?012\|jt;9>49o6]e`ifvAtn~lx$Aljk_qpfwfgkm{Ujhi>?01]{kw:6078h7^~jajgq@woqm{%FmijPpsgpgdjbzVkoh=>?0^zlv97>6;h0_}knkdpGvlpbz&GjhiQrdq`eicuWhno<=>?_ymq8485k2Y{ilejrEpjr`t(EhnoS}|jsbcoawYflm:;<=Qwos>14;4d3Zznmfk}Dskuaw)JimnT|k|c`nfvZgcl9:;Uwmhan~I|fvdp,IdbcWyxnnoces]b`a6789Usc2=4?0a?VvbiboyHgyes-NeabXx{oxolbjr^cg`5678Vrd~1<12c9Pt`glm{Nye{k}/Lcg`Zvumzij`h|Paef3456Xpfx7?35>o5\pdchawBuaoy#@okd^rqavefdlxTmij?012\|jt;=78i7^~jajgq@woqm{%FmijPpsgpgdjbzVkoh=>?0^zlv909:k1X|hodesFqmscu'DkohR~}erabh`tXimn;<=>Pxnp?3;4e3Zznmfk}Dskuaw)JimnT|k|c`nfvZgcl9:;12d9Pt`glm{Nye{k}/LaliuguWze~xRmbp^ef4567Wjs7<3Q}t3a8WucfclxO~dxjr.O`khvfzVydyyQlmq]da5678Vir0<>12c9Pt`glm{Nye{k}/LaliuguWze~xRmbp^ef4567Wjs7=3h5\pdchawBuaoy#@m`mqcq[vir|Vif|Rij0123[f;:7Uyx?l4Sqgbo`tCz`|n~"ClolrbvZuh}}Uha}Qhe1234Ze~4:49n6]e`ifvAtn~lx$Anabp`p\wjssWjg{Sjk?012\g|:36;h0_}knkdpGvlpbz&Ghc`~nr^qlqqYdeyUli=>?0^az8085j2Y{ilejrEpjr`t(Ejef|l|Psnww[fkwWno;<=>Pcx>5:7d<[yojgh|Krhtfv*Kdgdzj~R}`uu]`iuY`m9:;Uwmhan~I|fvdp,IfijxhxTb{{_bos[bc789:Tot27>3`8WucfclxO~dxjr.O`khvfzVydyyQlmq]da5678Vir040=d:QsadmbzMxbzh| MbmntdtX{fSnc_fg3456Xd|~7==0=c:QsadmbzMxbzh| MbmntdtX{fSnc_fg3456Xd|~7=35>n5\pdchawBuaoy#@m`mqcq[vir|Vif|Rij0123[iss4<49o6]e`ifvAtn~lx$Anabp`p\wjssWjg{Sjk?012\hpr;>78h7^~jajgq@woqm{%Fobcas]pkprXkdzTkh>?01]oqq:06;i0_}knkdpGvlpbz&Ghc`~nr^qlqqYdeyUli=>?0^nvp9>9:j1X|hodesFqmscu'Dida}o}_rmvpZejxVmn<=>?_mww8<86>2Y{ilejrEpjr`t(XEKRSd`y1e9Pt`glm{Nye{k}/QNB]Zoi~8UBB[Q?1`9Pt`glm{Nye{k}/qpfwfgkm{6;2Uwmhan~I|fvdp,twctkhfn~1?:>0`8WucfclxO~dxjr.rqavefdlx7=;0>b:QsadmbzMxbzh| psgpgdjbz5;<268k0_}knkdpGvlpbz&zyi~mnldp?5;7e3Zznmfk}Dskuaw)wzlyhmak}<32=5g=Txlk`iJ}iwgq+utb{jkgi2=1?3a?VvbiboyHgyes-sv`udieoy0?<11c9Pt`glm{Nye{k}/qpfwfgkm{69?3?m;RrfencuL{c}i!rdq`eicu4;>5=l5\pdchawBuaoy#}|jsbcoaw:568k0_}knkdpGvlpbz&zyi~mnldp?7;7f3Zznmfk}Dskuaw)wzlyhmak}<5<2e>Uwmhan~I|fvdp,twctkhfn~1;11`9Pt`glm{Nye{k}/qpfwfgkm{6=2Uwmhan~I|fvdp,twctkhfn~R?<1`9Pt`glm{Nye{k}/qpfwfgkm{U:880c8WucfclxO~dxjr.rqavefdlxT=4?6;RrfencuL{c}i!rdq`eicuW;;j7^~jajgq@woqm{%{~h}lamgq[766i2Y{ilejrEpjr`t(x{oxolbjr^025d=Txlk`iJ}iwgq+utb{jkgiQ=20c8WucfclxO~dxjr.rqavefdlxT>>?n;RrfencuL{c}i!rdq`eicuW;>:56]e`ifvAtn~lx$|k|c`nfvZ5612Y{ilejrEpjr`t(x{oxolbjr^62=>Uwmhan~I|fvdp,twctkhfn~R;>9:QsadmbzMxbzh| psgpgdjbzV<:56]e`ifvAtn~lx$|k|c`nfvZ1612Y{ilejrEpjr`t(x{oxolbjr^:2=>Uwmhan~I|fvdp,twctkhfn~R7=2:QsadmbzMxbzh| psgpgdjbzVkoh=>?0=2=66=Txlk`iJ}iwgq+utb{jkgiQnde2345:687887^~jajgq@woqm{%{~h}lamgq[dbc89:;0?01>26;443Zznmfk}Dskuaw)wzlyhmak}_`fg45674895>>5\pdchawBuaoy#}|jsbcoawYflm:;<=2>4?00?VvbiboyHgyes-sv`udieoySljk01238439::1X|hodesFqmscu'yxnnoces]b`a67896::3<<;RrfencuL{c}i!rdq`eicuWhno<=>?<05=66=Txlk`iJ}iwgq+utb{jkgiQnde2345:607887^~jajgq@woqm{%{~h}lamgq[dbc89:;0<71239Pt`glm{Nye{k}/qpfwfgkm{Ujhi>?01>2:75<[yojgh|Krhtfv*vumzij`h|Paef3456;:949?6]e`ifvAtn~lx$|k|c`nfvZgcl9:;<1<>>318WucfclxO~dxjr.rqavefdlxTmij?012?6785;2Y{ilejrEpjr`t(x{oxolbjr^cg`56785882?=4Sqgbo`tCz`|n~"~}erabh`tXimn;<=>325<16>Uwmhan~I|fvdp,twctkhfn~Rokd1234949:;1X|hodesFqmscu'yxnnoces]b`a6789682?<4Sqgbo`tCz`|n~"~}erabh`tXimn;<=>34?01?VvbiboyHgyes-sv`udieoySljk01238085:2Y{ilejrEpjr`t(x{oxolbjr^cg`56785<5>?5\pdchawBuaoy#}|jsbcoawYflm:;<=28>308WucfclxO~dxjr.rqavefdlxTmij?012?<;453Zznmfk}Dskuaw)wzlyhmak}_`fg45674049?6]e`ifvAtn~lx$|k|c`nfvZkrp9:;<1??>368WucfclxO~dxjr.rqavefdlxTaxv?012?5586::1X|hodesFqmscu'yxnnoces]nq}67896:=3<;;RrfencuL{c}i!rdq`eicuWds<=>?<03=575<[yojgh|Krhtfv*vumzij`h|Pmtz3456;9;4986]e`ifvAtn~lx$|k|c`nfvZkrp9:;<1?=>000?VvbiboyHgyes-sv`udieoyS`{w01238459:=1X|hodesFqmscu'yxnnoces]nq}67896:?3?=3:QsadmbzMxbzh| psgpgdjbzVg~t=>?0=37:72<[yojgh|Krhtfv*vumzij`h|Pmtz3456;9=4:>>5\pdchawBuaoy#}|jsbcoawYj}q:;<=2>5?07?VvbiboyHgyes-sv`udieoyS`{w012384399;90_}knkdpGvlpbz&zyi~mnldp\ip~789:7=;0=4:QsadmbzMxbzh| psgpgdjbzVg~t=>?0=35:4443Zznmfk}Dskuaw)wzlyhmak}_lw{456748=5>95\pdchawBuaoy#}|jsbcoawYj}q:;<=2>7?317>Uwmhan~I|fvdp,twctkhfn~Rczx123497?6;>0_}knkdpGvlpbz&zyi~mnldp\ip~789:7=50>229Pt`glm{Nye{k}/qpfwfgkm{Ufyu>?01>2=;433Zznmfk}Dskuaw)wzlyhmak}_lw{45674835=?<4Sqgbo`tCz`|n~"~}erabh`tXe|r;<=>31?00?VvbiboyHgyes-sv`udieoyS`{w01238486::1X|hodesFqmscu'yxnnoces]nq}678969<3<;;RrfencuL{c}i!rdq`eicuWds<=>?<32=575<[yojgh|Krhtfv*vumzij`h|Pmtz3456;:84986]e`ifvAtn~lx$|k|c`nfvZkrp9:;<1<>>000?VvbiboyHgyes-sv`udieoyS`{w01238749:=1X|hodesFqmscu'yxnnoces]nq}678969>3?=3:QsadmbzMxbzh| psgpgdjbzVg~t=>?0=00:72<[yojgh|Krhtfv*vumzij`h|Pmtz3456;::4:>>5\pdchawBuaoy#}|jsbcoawYj}q:;<=2=4?07?VvbiboyHgyes-sv`udieoyS`{w012387299;80_}knkdpGvlpbz&zyi~mnldp\ip~789:7>3<<;RrfencuL{c}i!rdq`eicuWds<=>?<3<267=Txlk`iJ}iwgq+utb{jkgiQbuy2345:46;90_}knkdpGvlpbz&zyi~mnldp\ip~789:7?3?=2:QsadmbzMxbzh| psgpgdjbzVg~t=>?0=6=66=Txlk`iJ}iwgq+utb{jkgiQbuy2345:368897^~jajgq@woqm{%{~h}lamgq[hs89:;080=3:QsadmbzMxbzh| psgpgdjbzVg~t=>?0=7=574<[yojgh|Krhtfv*vumzij`h|Pmtz3456;>7887^~jajgq@woqm{%{~h}lamgq[hs89:;0;0>239Pt`glm{Nye{k}/qpfwfgkm{Ufyu>?01>4:75<[yojgh|Krhtfv*vumzij`h|Pmtz3456;?7;9>6]e`ifvAtn~lx$|k|c`nfvZkrp9:;<161229Pt`glm{Nye{k}/qpfwfgkm{Ufyu>?01>;:4453Zznmfk}Dskuaw)wzlyhmak}_lw{45674049?6]e`ifvAtn~lx$|k|c`nfvZkrp9:;<1711008WucfclxO~dxjr.qlqq7c3Zznmfk}Dskuaw)tg|~To`~Pgd23457f3Zznmfk}Dskuaw)tg|~Tbbz?01315>Uwmhan~I|fvdp,wjssWge<=>>1^KMRZ6a3]KYKHZPP@PWP\VB02^N^@GAAM48PLCHNOh0X_OASQCQAKR33]S[I;5ZNTQF@f=R[LXTZD]FBMG0?SEDi2\LMI|fvdp,b>P@IMxbzh| FSCNA46<^NKO~dxjr.DQEHC69=1]KLJ}iwgq+CTFELUIYKI=3:TDEAtn~lx$J_OBE^@VBBYN8Vg~tR}jl^qjc41<^NKO~dxjr.DQEHCXff~;<=?>9:TDEAtn~lx$J_OBE^llp567988:56XHAEpjr`t(N[KFIR``t1235736l2\LMI|fvdp,BWGJMVddx=>?137\WR6d3_MJHgyes-KW42<^NKO~dxjr.JP[dhc89:;=;5YG@Fqmscu'AYTmcj?012264g<^NKO~dxjr.JP[dhc89:;=?Q\W135?SAFL{c}i!GS^cm`5678;2:m6XHAEpjr`t(@ZUjbi>?010;[VQ79k1]KLJ}iwgq+MUXign;<=>=8^QT447e3_MJHgyes-KWZgil9:;Pcx>3:4c<^NKO~dxjr.Ob`aY_Whno<=>?_b{?5;463_MJHgyes-NeabXPVkoh=>?0^az848Xz};n7[INDskuaw)JimnTTRokd1234Ze~4;49=6XHAEpjr`t(EhnoSUQnde2345Ydq585Sz>e:TDEAtn~lx$Aljk_Y]b`a6789Uhu1=1209UCDBuaoy#@okd^Z\eab789:Tot2<>^pw5`=QOHNye{k}/Lcg`Z^Ximn;<=>Pcx>7:77<^NKO~dxjr.Ob`aY_Whno<=>?_b{?0;Yu|8o0ZJOKrhtfv*KflmUSSljk0123[f;=78:7[INDskuaw)JimnTTRokd1234Ze~4<4T~y?j;WEB@woqm{%FmijPX^cg`5678Vir0;0=1:TDEAtn~lx$Aljk_Y]b`a6789Uhu181_sv2a>P@IMxbzh| M`fg[]Yflm:;<=Qly=5=64=QOHNye{k}/Lcg`Z^Ximn;<=>Pcx>4:Zts9l1]KLJ}iwgq+HgclVRTmij?012\g|:?6;;0ZJOKrhtfv*KflmUSSljk0123[f;07Uyx^KMRZ66n2\LMI|fvdp,IdbcWQUjhi>?01]{kw:768l0ZJOKrhtfv*KflmUSSljk0123[}iu484:j6XHAEpjr`t(EhnoSUQnde2345Yg{6920>f:TDEAtn~lx$Aljk_Y]b`a6789Usc2;>0d8RBGCz`|n~"Cnde][[dbc89:;Sua}<4<2b>P@IMxbzh| M`fg[]Yflm:;<=Qwos>5:4`<^NKO~dxjr.Ob`aY_Whno<=>?_ymq8286n2\LMI|fvdp,IdbcWQUjhi>?01]{kw:?68l0ZJOKrhtfv*KflmUSSljk0123[}iu404:i6XHAEpjr`t(EhnoSTQnde2345Ydq5:5=h5YG@Fqmscu'DkohRWPaef3456Xkp6:2??4VFCGvlpbz&GjhiQV_`fg4567Wjs7=3Q}t0g8RBGCz`|n~"Cnde]Z[dbc89:;Snw32?02?SAFL{c}i!Baef\]Zgcl9:;?0^az868592\LMI|fvdp,IdbcWPUjhi>?01]`}959W{~:i6XHAEpjr`t(EhnoSTQnde2345Ydq5>5><5YG@Fqmscu'DkohRWPaef3456Xkp6?2R|{1d9UCDBuaoy#@okd^[\eab789:Tot2:>338RBGCz`|n~"Cnde]Z[dbc89:;Snw35?]qp4c<^NKO~dxjr.Ob`aY^Whno<=>?_b{?2;463_MJHgyes-NeabXQVkoh=>?0^az838Xz};n7[INDskuaw)JimnTURokd1234Ze~4>49=6XHAEpjr`t(EhnoSTQnde2345Ydq5=5Sz>e:TDEAtn~lx$Aljk_X]b`a6789Uhu161209UCDBuaoy#@okd^[\eab789:Tot27>^pw5c=QOHNye{k}/Lcg`Z_Ximn;<=>Pltv?4;423_MJHgyes-NeabXQVkoh=>?0^nvp969W@D]S=?i;WEB@woqm{%FmijPY^cg`5678Vrd~1>11g9UCDBuaoy#@okd^[\eab789:Ttb|31?3e?SAFL{c}i!Baef\]Zgcl9:;Pxnp?7;7a3_MJHgyes-NeabXQVkoh=>?0^zlv9299o1]KLJ}iwgq+HgclVSTmij?012\|jt;=7;m7[INDskuaw)JimnTURokd1234Z~hz5<5=k5YG@Fqmscu'DkohRWPaef3456Xpfx7;3?i;WEB@woqm{%FmijPY^cg`5678Vrd~1611g9UCDBuaoy#@okd^[\eab789:Ttb|39?3`?SAFL{c}i!BcnwmpZnhz`pTot2?>0a8RBGCz`|n~"Clotlw[miuasUhu1?11g9UCDBuaoy#@m`uov\ljtnrVir0<0Pru3`?SAFL{c}i!BcnwmpZnhz`pTot2=>0d8RBGCz`|n~"Clotlw[miuasUhu1<1_sv2g>P@IMxbzh| MbmvjqYog{cqSnw33?3e?SAFL{c}i!BcnwmpZnhz`pTot2<>^pw5f=QOHNye{k}/LalqkrX`fxbvRmv<5<2b>P@IMxbzh| MbmvjqYog{cqSnw34?]qp4e<^NKO~dxjr.O`kphsWaeyewQly=7=5c=QOHNye{k}/LalqkrX`fxbvRmv<4<\vq7d3_MJHgyes-Ngjsi|Vbd~dtPcx>5:4`<^NKO~dxjr.O`kphsWaeyewQly=4=[wr6k2\LMI|fvdp,Ifirf}Uccgu_b{?3;7a3_MJHgyes-Ngjsi|Vbd~dtPcx>4:Zts9j1]KLJ}iwgq+Heh}g~Tdb|fz^az8=86n2\LMI|fvdp,Ifirf}Uccgu_b{?<;Yu|8n0ZJOKrhtfv*Kdg|dSea}i{]oqq:76;90ZJOKrhtfv*Kdg|dSea}i{]oqq:76VCEZR>>d:TDEAtn~lx$Anaznu]kkwo}Wqey0=0>d:TDEAtn~lx$Anaznu]kkwo}Wqey0<0>d:TDEAtn~lx$Anaznu]kkwo}Wqey0?0>d:TDEAtn~lx$Anaznu]kkwo}Wqey0>0>d:TDEAtn~lx$Anaznu]kkwo}Wqey090>d:TDEAtn~lx$Anaznu]kkwo}Wqey080>d:TDEAtn~lx$Anaznu]kkwo}Wqey0;0>d:TDEAtn~lx$Anaznu]kkwo}Wqey0:0>d:TDEAtn~lx$Anaznu]kkwo}Wqey050>d:TDEAtn~lx$Anaznu]kkwo}Wqey040=0:TDEAtn~lx$Anaznu]kkwo}Wqey040Pru3a?SAFL{c}i!BcnwmpZpbz}Uhu1>11c9UCDBuaoy#@m`uov\r`tsWjs7=3?j;WEB@woqm{%Fob{at^tfvqYdq5;5Sz>b:TDEAtn~lx$Anaznu]uawrXkp692;:Zts9j1]KLJ}iwgq+Heh}g~Tzh|{_mww8585:2\LMI|fvdp,Ifirf}U}izPltv?4;YNF_U;=n5YG@Fqmscu'DidyczPvdpw[}iu494:o6XHAEpjr`t(Eje~byQyesv\|jt;97;h7[INDskuaw)JkfexRxjru]{kw:568i0ZJOKrhtfv*Kdg|dS{k}t^zlv9599j1]KLJ}iwgq+Heh}g~Tzh|{_ymq8186k2\LMI|fvdp,Ifirf}U}izPxnp?1;7d3_MJHgyes-Ngjsi|V|n~yQwos>5:4e<^NKO~dxjr.O`kphsWoyxRv`r=5=5f=QOHNye{k}/LalqkrX~lxSua}<9<2g>P@IMxbzh| MbmvjqYqm{~Ttb|39?3e?SAFL{c}i!BcnwmpZpbz}Usc26>^pw64=QOHNye{k}/LqvfZ^Ximnxyo>?01]`}979:=1]KLJ}iwgq+HurjVRTmij|uc2345Ydq5;5Sz=1:TDEAtn~lx$A~{m_Y]b`aurj9:;P@IMxbzh| Mrwa[]Yflmy~n=>?0^az868592\LMI|fvdp,IvseWQUjhi}zb1234Ze~4=4986XHAEpjr`t(EziSUQndeqvf5678Vir090Pru02?SAFL{c}i!Bst`\\Zgclzi<=>?_b{?1;463_MJHgyes-NwpdXPVkoh~{m0123[f;>78:7[INDskuaw)J{|hTTRokdrwa4567Wjs7;3<;;WEB@woqm{%FxlPX^cg`vse89:;Snw37?]qp77<^NKO~dxjr.OpqgY_Whnoxl?012\g|:?6;80ZJOKrhtfv*Kt}kUSSljkst`3456Xd|~7>3<7;WEB@woqm{%FxlPX^cg`vse89:;Sa{{<3<\MKPX8;80ZJOKrhtfv*Kt}kUSSljkst`3456Xd|~7?3<7;WEB@woqm{%FxlPX^cg`vse89:;Sa{{<2<\MKPX8;80ZJOKrhtfv*Kt}kUSSljkst`3456Xd|~793<7;WEB@woqm{%FxlPX^cg`vse89:;Sa{{<4<\MKPX8;80ZJOKrhtfv*Kt}kUSSljkst`3456Xd|~7:3<7;WEB@woqm{%FxlPX^cg`vse89:;Sa{{<7<\MKPX8;80ZJOKrhtfv*Kt}kUSSljkst`3456Xd|~743<7;WEB@woqm{%FxlPX^cg`vse89:;Sa{{<9<\MKPX8;80ZJOKrhtfv*Kt}kUSSljkst`3456Xd|~753<7;WEB@woqm{%FxlPX^cg`vse89:;Sa{{<8<\MKPX8;80ZJOKrhtfv*Kt}kUSSljkst`3456Xpfx7=3<=;WEB@woqm{%FxlPX^cg`vse89:;Sua}<3<16>P@IMxbzh| Mrwa[]Yflmy~n=>?0^zlv959:;1]KLJ}iwgq+HurjVRTmij|uc2345Yg{6?2?<4VFCGvlpbz&GxyoQW_`fgwpd789:Ttb|35?01?SAFL{c}i!Bst`\\Zgclzi<=>?_ymq8385:2\LMI|fvdp,IvseWQUjhi}zb1234Z~hz5=5>?5YG@Fqmscu'Dy~nRVPaefpqg6789Usc27>308RBGCz`|n~"C|uc][[dbc{|h;<=>Pxnp?=;463_MJHgyes-NwpdXQVkoh~{m0123[f;978?7[INDskuaw)J{|hTURokdrwa4567Wjs7=3Q}t338RBGCz`|n~"C|uc]Z[dbc{|h;<=>Pcx>1:77<^NKO~dxjr.OpqgY^Whnoxl?012\g|:46;;0ZJOKrhtfv*Kt}kURSljkst`3456Xkp6?2??4VFCGvlpbz&GxyoQV_`fgwpd789:Tot2:>368RBGCz`|n~"C|uc]Z[dbc{|h;<=>Pcx>6:Zts:81]KLJ}iwgq+HurjVSTmij|uc2345Ydq5<5><5YG@Fqmscu'Dy~nRWPaefpqg6789Uhu191209UCDBuaoy#@}zb^[\eabt}k:;<=Qly=:=67=QOHNye{k}/LqvfZ_Ximnxyo>?01]oqq:56;20ZJOKrhtfv*Kt}kURSljkst`3456Xd|~7>3QFNW]367=QOHNye{k}/LqvfZ_Ximnxyo>?01]oqq:46;20ZJOKrhtfv*Kt}kURSljkst`3456Xd|~7?3QFNW]367=QOHNye{k}/LqvfZ_Ximnxyo>?01]oqq:36;20ZJOKrhtfv*Kt}kURSljkst`3456Xd|~783QFNW]367=QOHNye{k}/LqvfZ_Ximnxyo>?01]oqq:16;20ZJOKrhtfv*Kt}kURSljkst`3456Xd|~7:3QFNW]367=QOHNye{k}/LqvfZ_Ximnxyo>?01]oqq:06;20ZJOKrhtfv*Kt}kURSljkst`3456Xd|~7;3QFNW]367=QOHNye{k}/LqvfZ_Ximnxyo>?01]oqq:?6;20ZJOKrhtfv*Kt}kURSljkst`3456Xd|~743QFNW]367=QOHNye{k}/LqvfZ_Ximnxyo>?01]oqq:>6;20ZJOKrhtfv*Kt}kURSljkst`3456Xd|~753QFNW]367=QOHNye{k}/LqvfZ_Ximnxyo>?01]{kw:66;80ZJOKrhtfv*Kt}kURSljkst`3456Xpfx7>3<=;WEB@woqm{%FxlPY^cg`vse89:;Sua}<2<16>P@IMxbzh| Mrwa[\Yflmy~n=>?0^zlv929:;1]KLJ}iwgq+HurjVSTmij|uc2345Yg{6>2?<4VFCGvlpbz&GxyoQV_`fgwpd789:Ttb|36?01?SAFL{c}i!Bst`\]Zgclzi<=>?_ymq8285:2\LMI|fvdp,IvseWPUjhi}zb1234Z~hz525>?5YG@Fqmscu'Dy~nRWPaefpqg6789Usc26>d9UCDBuaoy#C?>1e9UCDBuaoy#C?=c:TDEAtn~lx$B9m4VFCGvlpbz&D3==5YG@Fqmscu'[MI0:0i;WEB@woqm{%YKOQ8129UCDBuaoy#_k|umv?4;733_MJHgyes-Qavsk|5:5=<=4VFCGvlpbz&Xnxb{<0<20>P@IMxbzh| Rdqvhq:668;87[INDskuaw)Umzgx1<1159UCDBuaoy#_k|umv?6;76;2\LMI|fvdp,V`urd}682<:4VFCGvlpbz&Xnxb{<2<256=QOHNye{k}/Sgpqir;<7;?7[INDskuaw)Umzgx1:11018RBGCz`|n~"\jstnw8086<2\LMI|fvdp,V`urd}6>27;:?6XHAEpjr`t(Zly~`y28>068RBGCz`|n~"\jstnw82869:1]KLJ}iwgq+Wct}e~743?;;WEB@woqm{%Yi~{ct=:=545<^NKO~dxjr.Pfwpjs404:86XHAEpjr`t(Zly~`y26>0a8RBGCz`|n~"X\159UCDBuaoy#[]Paof34566=2\LMI|fvdp,RVYffm:;<=?>7:TDEAtn~lx$Z^Qnne2345Ya=k1]KLJ}iwgq+R743_MJHgyes-T[kis89::=95YG@Fqmscu'^Uecy>?003f?SAFL{c}i!W<130?35?SAFL{c}i!W_`fg4567484::6XHAEpjr`t(PVkoh=>?0=0=53=QOHNye{k}/Y]b`a6789682<84VFCGvlpbz&RTmij?012?0;713_MJHgyes-[[dbc89:;080>6:TDEAtn~lx$TRokd12349099?1]KLJ}iwgq+]Yflm:;<=28>048RBGCz`|n~"VPaef3456;07;=7[INDskuaw)_Whno<=>?<8<2=>P@IMxbzh| X^cg`vse89:;0<0>9:TDEAtn~lx$TRokdrwa45674;4:56XHAEpjr`t(PVkoh~{m0123868612\LMI|fvdp,\Zgclzi<=>?<5<2=>P@IMxbzh| X^cg`vse89:;080>9:TDEAtn~lx$TRokdrwa45674?4:56XHAEpjr`t(PVkoh~{m0123828612\LMI|fvdp,\Zgclzi<=>?<9<2=>P@IMxbzh| X^cg`vse89:;040>3:TDEAtn~lx$TRoad123441<^NKO~dxjr.Z\ekb789::=6:TDEAtn~lx$TRoad12344759?1]KLJ}iwgq+]Yffm:;<=?=6048RBGCz`|n~"VPaof34566<8;>7[INDskuaw)_Whdo<=>?1437?SAFL{c}i!W_`lg4567:8?0ZJOKrhtfv*^Xign;<=>=70:8RBGCz`|n~"VPaof34565WZ];=85YG@Fqmscu'QUjbi>?016350=QOHNye{k}/Y]bja6789??=85YG@Fqmscu'QUjbi>?015450=QOHNye{k}/Y]bja67892?=;5YG@Fqmscu'QUjbi>?01:754><^NKO~dxjr.Z\ekb789:38Rh:149UCDBuaoy#UQnne2345?5m2\LMI|fvdp,]969m2\LMI|fvdp,]979m2\LMI|fvdp,]949m2\LMI|fvdp,]959m2\LMI|fvdp,]929m2\LMI|fvdp,]939m2\LMI|fvdp,]909m2\LMI|fvdp,]919m2\LMI|fvdp,]9>9m2\LMI|fvdp,]9?9l2\LMI|fvdp,]Z6c3_MJHgyes-Z[4b<^NKO~dxjr.[\6a=QOHNye{k}/X]0`>P@IMxbzh| Y^6g?SAFL{c}i!V_4f8RBGCz`|n~"WP6e9UCDBuaoy#TQ8d:TDEAtn~lx$UR6k;WEB@woqm{%RS4?9;WEB@woqm{%RSljk01238586>2\LMI|fvdp,]Zgcl9:;<1?1179UCDBuaoy#TQnde2345:568<0ZJOKrhtfv*_Ximn;<=>33?35?SAFL{c}i!V_`fg45674=4::6XHAEpjr`t(QVkoh=>?0=7=53=QOHNye{k}/X]b`a67896=2<84VFCGvlpbz&STmij?012?3;713_MJHgyes-Z[dbc89:;050>6:TDEAtn~lx$URokd12349?9901]KLJ}iwgq+\Yflmy~n=>?0=3=5<=QOHNye{k}/X]b`aurj9:;<1<1189UCDBuaoy#TQndeqvf5678595=45YG@Fqmscu'PUjhi}zb1234929901]KLJ}iwgq+\Yflmy~n=>?0=7=5<=QOHNye{k}/X]b`aurj9:;<181189UCDBuaoy#TQndeqvf56785=5=45YG@Fqmscu'PUjhi}zb12349>9901]KLJ}iwgq+\Yflmy~n=>?0=;=57=QOHNye{k}/imqm:76880ZJOKrhtfv*nhz`p7=3?=;WEB@woqm{%ccgu<3<26>P@IMxbzh| hnpj~9599;1]KLJ}iwgq+miuas6?2<<4VFCGvlpbz&bd~dt35?31?SAFL{c}i!gosky8386:2\LMI|fvdp,ljtnr5=5=?5YG@Fqmscu'aeyew27>008RBGCz`|n~"f`rhx?=;763_MJHgyes-kkwo}W9;:7[INDskuaw)og{cqS;WEB@woqm{%ccgu_332?SAFL{c}i!gosky[6763_MJHgyes-kkwo}W=;:7[INDskuaw)og{cqS8?>;WEB@woqm{%ccgu_732?SAFL{c}i!gosky[2763_MJHgyes-kkwo}W1;:7[INDskuaw)og{cqS4?>;WEB@woqm{%}iz30?32?SAFL{c}i!yesv?5;763_MJHgyes-uawr;:7;:7[INDskuaw)qm{~7?3?>;WEB@woqm{%}iz34?32?SAFL{c}i!yesv?1;763_MJHgyes-uawr;>7;:7[INDskuaw)qm{~7;3?>;WEB@woqm{%}iz38?32?SAFL{c}i!yesv?=;773_MJHgyes-uawrX88:0ZJOKrhtfv*pbz}U:==5YG@Fqmscu'oyxR<>0:TDEAtn~lx$zh|{_233?SAFL{c}i!yesv\046<^NKO~dxjr.tfvqY2991]KLJ}iwgq+scu|V<:<6XHAEpjr`t(~lxS:??;WEB@woqm{%}izP8028RBGCz`|n~"xjru]:5<=QOHNye{k}/wgqpZejxVoz<=>?1`9UCDBuaoy#{k}t^antZcv89:;==45YG@Fqmscu'oyxRmbp^gr45669h1]KLJ}iwgq+scu|Vif|Rk~01225<=QAL]TXT^J2:TP3>PTWFH^J5WOS78\JTDQ?1S_YBFB69[WQY@FM=0T^ZPVBAa?]P5WK_MK@[W8:ZUOZ@KGY30T[EPL@V@L<=_^BUFYUMV9:ZUOZKRPO?27UXD_YMQG\08:ZglZKfxJey~NaasucmpQtf{a;87Ujg_LcsGjtuElxliiZ`169[`mYJiyId~\jmntf`Qtf{ah0TifPPsknMa~e3QncS]|fmHlug>^c`VZye`Zvpdd8\anXX{cfZh||inl4?]boW]kln6Vkm^@jjaoio8:0TicPM`hlvScu{`ee==5Wdl]Nmkiu^lxxeb`<;Yqw0>\BZF<0oiv33?48ga~;<7<0oiv35?78ga~X;<1hhuQ;5:ag|Z3b3jnsS`{w0121868a3jnsS`{w01218686m2iotRczx1236929n2iotRczx12369299l1hhuQbuy2347:26o1hhuQbuy2347:268<0oiw32?48ga;;7<0oiw34?48ga;=7?0oiwP249``|Y4=2iouR::;bfz[0g?01a8gaXg{:;<=<;c:ag}Ziu89:;?:m4ce{\kw6789<2==5ldx]lv5678?3T_Z>>b:ag}Ziu89:;:4Q\W1-OQQ4XDVH^J<<4ce{\kw6789<2S^Y?/N32?fb~Wfx;<=>99^QT557d3jnrSb|?0125=ZUP99%GYY?014:[VQ68&E:=6mky^mq4567>0UX[c:ag}Ziu89:;:4Q\W03,HPR3WEUIYK?<;bfz[jt789:=5R]X10-L54=dlpUd~=>?07;\WR759j1hhtQ`r12343?X[^;9#A[[4^N\FP@6;2iouRa}0123230a8gaXg{:;<=86_RU27*JR\=UGSO[I129``|Yhz9:;<;7PSV30+J763jnrSb|?0125=ZUP9=;h7njv_np345611VY\=9!CUU6\HZDRN890oiwPos23450>WZ]:8"A>1:ag}Ziu89:;:4Q\W072g>ecqVey<=>?68]PS43(D\^9SAQMUG30?fb~Wfx;<=>99^QT50)H991hhtQ`r12343?X[^8:n6mky^mq4567>0UX[?!CUU0\HZDRN880oiwPos23450>WZ]9#B??;bfz[jt789:=5R]X40`8gaXg{:;<=86_RU7+ISS:VFTNXH>2:ag}Ziu89:;:4Q\W5-L55=dlpUd~=>?07;\WR06j2iouRa}01232?014:[VQ1'F;;7njv_np345611VY\;6mky^mq4567>0UX[:!@119``|Yhz9:;<;7PSV:2f>ecqVey<=>?68]PS=)K]]8T@RLZF008gaXg{:;<=86_RU;+J773jnrSb|?0125=ZUP18h0oiwPos23450>WZ]2#A[[2^N\FP@6:2iouRa}01232(D\^8SAQMUGf8gaXg{:;<=6 Od9``|Yt}k:;<=2=>d9``|Yt}k:;<=2<>d9``|Yt}k:;<=2;>d9``|Yt}k:;<=2:>49`|969>2is0<>15:a{84823jr7>3;4cy>0:0=dp5>596mw<4<6?f~;>7?0ou28>49`|9>9=2is040;;bz\41=dpV;>7nvP1168g}Y5<2isS>:4cy]70>eW<>0ouQ94:a{[2249`}979=2ir0?0:;b{?7;3e~4?4>7nw37?78g|:?6<1hu1714:az[522:jsawYflm:;<=2>0?0250=oxlxTmij?012?558Xjmn;=95gpdp\eab789:7==0Piote?mvbzVkoh=>?0=7=55=oxlxTmij?012?1;76?2b{iQnde2345:268;TECXP0048lucuWhno<=>?<4<2[LHQW9l0d}k}_`fg45674?4:<6fes]b`a67896=2;irfvZgcl9:;<18110d8lucuWhno<=>?<6?0=:=b>nwm{Ujhi>?01>::46<`yoySljk01238<86981c|h|Paef3456;17;:=?5gpdp\eab789;7==0>1038lucuWhno<=>><02=644<`yoySljk01228469:8;>7e~jr^cg`56795;;2Rlkd137?mvbzVkoh=>?1=33:Zoi~o1c|h|Paef3457;=7;;7e~jr^cg`56795?5=<94hqgq[dbc89::080>1^KMRZ66>2b{iQnde2344:268UBB[Q?f:jsawYflm:;<<29>028lucuWhno<=>><7<254=oxlxTmij?013?2;76n2b{iQnde2344:06o1c|h|Paef3457;07l0d}k}_`fg4566404:<6fes]b`a6788622;irfvZgcl9:;=17110a8lucuWhdo<=>?d:jsawYffm:;<=?j;irfvZgil9:;9:jsawYffm:;<=Q=/MWW0ZBXJ\L:86fes]bja6789UX[=Q\W137?mvbzVkeh=>?0^QT4ZUP98l0d}k}_`lg4567WZ];S^Y>/MWW0ZJXJ\L::6fes]bja6789UX[=Q\W0-L55=oxlxTaxv?012?578692b{iQbuy2345:6:7;h7e~jr^llp5679m1c|h|Pnnv345769=1c|h|Pst`3456;994Tecxi;irfvZurj9:;<161f:jsawYt}k:;<=26>068lucuWzi<=>><02=[lhqn2b{iQ|uc2344:?6o1c|h|Pst`3457;1720ec|hb=5=3>oiznhT;<>4iopdfZejxVmn<=><119jjwaeWjg{Sjk?01724>oiznhTo`~Pgd2343773`dykoQlmq]da567?8:0ec|hb^antZjb89:8==5fnsea[fkwWeo;<=;>0:kmvbdXkdzT`h>?0733?lhuokUha}Qce123346120423>oiznhTaxv?016?4;46>VY\<<64iopdfZkrp9:;81>1204\WR66911bbim_lw{45634949=;Q\W102e>oiznhTaxv?016?4;46>VY\;<1<135743`dykoQbuy2341:76;=:=>5fnsea[hs89:?0=0=8430?lhuokUfyu>?05>3:7?>9;1bbim_lw{45634948<<84iopdfZkrp9:;81>131]PS5743`dykoQbuy2341:76:9<=:5fnsea[hs89:?0=0<36]PS5743`dykoQbuy2341:76:?8=:5fnsea[hs89:?0=0<52]PS57?3`dykoQbuy2341:76:?8S^Y?10:8mkt`jVg~t=>?4=2=705X[^:9=l5fnsea[hs89:?0=0<52]PS5Ya=890ec|hb^ov|567<5:58??>2:kmvbdXe|r;<=:30?;151=nf{miS`{w0127858>:VL:86garf`\ip~789>7<37=_F78hu:76?1g|1??>49ot979=2f{0?0:;mr?7;3jw4?4>7a~37?78hu:?6<1g|1714:ns[52=1g|R9;;mr\<1=kxV3o7a~Pclr\h`6789;:7a~Pclr\h`6789Ubb{?7;mr\ghvXdl:;<=Qfnw0\MKPX8h1g|R``t1236g=kxVddx=>?20f8huYt}k:;<=2?>d9otZurj9:;<1??>e9otZurj9:;<1?1d:ns[vse89:;0?0k;mr\wpd789:7?3j4lq]pqg67896?2i5cp^qvf56785?5h6b_rwa45674?4o7a~Pst`3456;?7n0`}Q|uc2345:?6m1g|R}zb12349?9<2gjec=4m`r;?kacj|cgh<64pi{pmfgkWnxdy}QLLJ]AQCAW9l1{dt}fc`n\cwirxV\LMI|fvdp,BWGJMj1{~h}lamgq858c3yxnnoces>24;bvumzij`h|3124?f8twctkhfn~1?:>e9sv`udieoy0<81d:rqavefdlx7=:0k;qpfwfgkm{6:43m4psgpgdjbz5;5o6~}erabh`t;:7i0|k|c`nfv959k2zyi~mnldp?0;evumzij`h|36?a8twctkhfn~191c:rqavefdlx743m4psgpgdjbz535n6~}erabh`tX8k1{~h}lamgq[4evumzij`h|P10a8twctkhfn~R?=c:rqavefdlxT=>m4psgpgdjbzV;?o6~}erabh`tX9vumzij`h|P2c9sv`udieoyS>l4psgpgdjbzV>i7}|jsbcoawY2j2zyi~mnldp\2g=wzlyhmak}_6`8twctkhfn~R6m;qpfwfgkm{U2=85rdq`eicuWhno<=>?<02=5<=wzlyhmak}_`fg456748:5Sd`y1g9sv`udieoySljk01238469W`d}SD@Y_137?utb{jkgiQnde2345:668>0|k|c`nfvZgcl9:;<1<1159sv`udieoySljk01238686<2zyi~mnldp\eab789:783?;;qpfwfgkm{Ujhi>?01>6:42?<6<20>vumzij`h|Paef3456;07;?7}|jsbcoawYflm:;<=26>078twctkhfn~Rokd12359776830|k|c`nfvZgcl9:;=1??>^kmr4`?00>1:42><5<20>vumzij`h|Paef3457;=7;?7}|jsbcoawYflm:;<<29>068twctkhfn~Rokd12359199=1{~h}lamgq[dbc89::050>4:rqavefdlxTmij?013?=;723yxnnoces]b`a678;6:<3?;;qpfwfgkm{Ujhi>?03>2:42=<2<20>vumzij`h|Paef3454;<7;?7}|jsbcoawYflm:;068twctkhfn~Rokd12369099=1{~h}lamgq[dbc89:90:0>4:rqavefdlxTmij?010?<;733yxnnoces]b`a678;622<;4psgpgdjbzVkoh=>?3=33:42<<3<20>vumzij`h|Paef3455;;7;?7}|jsbcoawYflm:;<>2;>068twctkhfn~Rokd12379399=1{~h}lamgq[dbc89:80;0>4:rqavefdlxTmij?011?3;733yxnnoces]b`a678:632<:4psgpgdjbzVkoh=>?3=;=52=wzlyhmak}_`fgwpd789:7<3?7;qpfwfgkm{Ujhi}zb12349776820|k|c`nfvZgclzi<=>?<03=5==wzlyhmak}_`fgwpd789:7=?0>8:rqavefdlxTmij|uc2345:6;7;37}|jsbcoawYflmy~n=>?0=37:4>?01>23;7?3yxnnoces]b`aurj9:;<1?7>058twctkhfn~Rokdrwa4567484:;6~}erabh`tXimnxyo>?01>1:410>7:rqavefdlxTmij|uc2345:368=0|k|c`nfvZgclzi<=>?<4<23>vumzij`h|Paefpqg67896=2<94psgpgdjbzVkoh~{m01238286?2zyi~mnldp\eabt}k:;<=27>058twctkhfn~Rokdrwa4567404:=6~}erabh`tXign;<=>>4:rqavefdlxTo`~Pgd2344733yxnnoces]`iuY`m9:;?<:4psgpgdjbzVif|Rij012751=wzlyhmak}_bos[ic789::86~}erabh`tXkdzT`h>?0037?utb{jkgiQlmq]oa567:8>0|k|c`nfvZejxVfn<=><159sv`udieoySnc_mg34536<2zyi~mnldp\ip~789:7<3?n;qpfwfgkm{Ufyu>?01>3:47X[^::n6~}erabh`tXe|r;<=>30?32[VQ688h0|k|c`nfvZkrp9:;<1>110]PS476j2zyi~mnldp\ip~789:7<3?>_RU264dvumzij`h|Pmtz3456;87;:S^Y>40`8twctkhfn~Rczx123496998UX[<;>b:rqavefdlxTaxv?012?4;76WZ]::?0=2=54YT_8=:n6~}erabh`tXe|r;<=>30?32[VQ608h0|k|c`nfvZkrp9:;<1>110]PS4?6i2zyi~mnldp\ip~789:7<3?>_RU15g=wzlyhmak}_lw{4567494:=R]X213a?utb{jkgiQbuy2345:768;T_Z<>1c9sv`udieoyS`{w012385869VY\>??m;qpfwfgkm{Ufyu>?01>3:47X[^88=o5rdq`eicuWds<=>?<1<25ZUP:=;i7}|jsbcoawYj}q:;<=2?>03\WR429h1{~h}lamgq[hs89:;0=0>1^QT04ga:rqavefdlxTaxv?012?4;76WZ]3=l5rdq`eicuWds<=>?<1<25ZUP18?0|k|c`nfvZkrp9:;<1>12048twctkhfn~Rczx123497768;<7}|jsbcoawYj}q:;<=2>0?3253=wzlyhmak}_lw{456748;5=<94psgpgdjbzVg~t=>?0=32:476>2zyi~mnldp\ip~789:7=?0>169sv`udieoyS`{w0123844998;=7}|jsbcoawYj}q:;<=2>3?323>vumzij`h|Pmtz3456;9:4:=<84psgpgdjbzVg~t=>?0=37:4703yxnnoces]nq}67896:83?>179sv`udieoyS`{w0123843998=0|k|c`nfvZkrp9:;<1?:>0322>vumzij`h|Pmtz3456;9?4:=:5rdq`eicuWds<=>?<04=54713yxnnoces]nq}67896:;3?>7:rqavefdlxTaxv?012?528698<0|k|c`nfvZkrp9:;<1?7>034?utb{jkgiQbuy2345:607;:=85rdq`eicuWds<=>?<0<253=wzlyhmak}_lw{4567484:=<:4psgpgdjbzVg~t=>?0=0=50=wzlyhmak}_lw{45674;4:=;5rdq`eicuWds<=>?<3<2543?0=1=54723yxnnoces]nq}67896?2?01>7:476=2zyi~mnldp\ip~789:793?>6:rqavefdlxTaxv?012?1;769<1{~h}lamgq[hs89:;0;0>179sv`udieoyS`{w0123838698?0|k|c`nfvZkrp9:;<1911048twctkhfn~Rczx123491998;>7}|jsbcoawYj}q:;<=27>035?utb{jkgiQbuy2345:?68;:96~}erabh`tXe|r;<=>39?322>vumzij`h|Pmtz3456;17;:=95rdq`eicuWds<=>=<1<21>vumzij`h|Pmtz3454;87;:96~}erabh`tXe|r;<=<311<22>vumzij`h|Pmtz3454;994:=85rdq`eicuWds<=>=<03=53=wzlyhmak}_lw{456548;5=<;4psgpgdjbzVg~t=>?2=31:40?03>27;713yxnnoces]nq}678;6:?3?>5:rqavefdlxTaxv?010?5186>2zyi~mnldp\ip~78987=90>149sv`udieoyS`{w012184399?1{~h}lamgq[hs89:90<;11078twctkhfn~Rczx123697168<0|k|c`nfvZkrp9:;>1?9>036?utb{jkgiQbuy2347:6?7;=7}|jsbcoawYj}q:;7?321>vumzij`h|Pmtz3454;914::6~}erabh`tXe|r;<=<319<251=wzlyhmak}_lw{4565484:96~}erabh`tXe|r;<=<31?320>vumzij`h|Pmtz3454;:7;>7}|jsbcoawYj}q:;037?utb{jkgiQbuy2347:468?0|k|c`nfvZkrp9:;>1=11068twctkhfn~Rczx12369299<1{~h}lamgq[hs89:9090>159sv`udieoyS`{w01218086=2zyi~mnldp\ip~7898793?>4:rqavefdlxTaxv?010?2;723yxnnoces]nq}678;6=2?03>4:43?2=:=50=wzlyhmak}_lw{4565414:=95rdq`eicuWds<=>=<8<21>vumzij`h|Pmtz3454;17;:=6~}erabh`tXff~;<=?>5:rqavefdlxTbbz?013\WR6582zyi~mnldp\jjr789;T_Z> LTV1[IYE]O;<7}|jsbcoawYig}:;<?0^QT4*JR\;UOSO[I159sv`udieoySb|?012\WR76n2zyi~mnldp\kw6789UX[0;8twctkhfn~R}zb12349776Vcez?0=33:Zoi~VCEZR>>4:rqavefdlxTxl?012?5;733yxnnoces]pqg6789692<:4psgpgdjbzVy~n=>?0=1=51=wzlyhmak}_rwa45674=4:86~}erabh`tX{|h;<=>35?37?utb{jkgiQ|uc2345:168>0|k|c`nfvZurj9:;<191159sv`udieoyS~{m01238=86<2zyi~mnldp\wpd789:753?:;qpfwfgkm{Uxyo>?00>24;7>3yxnnoces]pqg67886:<3Qfnw3e?utb{jkgiQ|uc2344:687Ubb{QFNW]351=wzlyhmak}_rwa4566484:86~}erabh`tX{|h;<=?32?37?utb{jkgiQ|uc2344:468>0|k|c`nfvZurj9:;=1:1159sv`udieoyS~{m01228086<2zyi~mnldp\wpd789;7:3?;;qpfwfgkm{Uxyo>?00>4:42><8<21>vumzij`h|Pst`3454;994:86~}erabh`tX{|h;<=<31?37?utb{jkgiQ|uc2347:568>0|k|c`nfvZurj9:;>1=1159sv`udieoyS~{m01218186<2zyi~mnldp\wpd7898793?;;qpfwfgkm{Uxyo>?03>5:42=<9<20>vumzij`h|Pst`3454;17;>7}|jsbcoawYt}k:;<>2>0?37?utb{jkgiQ|uc2346:668>0|k|c`nfvZurj9:;?1<1159sv`udieoyS~{m01208686<2zyi~mnldp\wpd7899783?;;qpfwfgkm{Uxyo>?02>6:42<<6<20>vumzij`h|Pst`3455;07;?7}|jsbcoawYt}k:;<>26>49qt969>2x{0<>15:ps84823{z7>3;4rq>0:0=ux5>596|<4<6?wv;>7?0~}28>49qt9>9=2x{040;;sr\41=uxV;>7~P1168vuY5<2x{S>:4rq]70>twW<<0~}Q:_068vuY1<2x{S::4rq];0>twW0n0~}Qlmq]oa56788;0~}Qlmq]oa5678Vcez<64rq]`iuYkm9:;twWge<=>=1e9qtZurj9:;<1>1e:ps[vse89:;0<>1d:ps[vse89:;0<0k;sr\wpd789:7>3j4rq]pqg6789682i5}p^qvf56785>5h6|_rwa45674<4o7~Pst`3456;>7n0~}Q|uc2345:06m1y|R}zb12349>9l2x{S~{m01238<843zeen6}`n^ov|5678j1xccQbuy23457e3zeeSca{0122`>uhfVddx=>?101g?viiWge<=>>17a8wjhXff~;<=?=169pkkYig}:;<<< LTV0[IYE]Oo0b`Pnnv34575'Fn0b`Pnnv345759820b`Pnnv345759&F^X9QK_CWEg>uhfVddx=>?12f8wjhXff~;<=?<7b9pkkYig}:;<<:j;rmm[kis89::89:qljZhh|9:;=9?>/MWW7ZJXJ\L:<6}`n^llp5679=;:#B?=;rmm[kis89::8uhfVddx=>?1432[VQ7m2ydbR``t1235043l2ydbR``t123502602ydbR``t123502(D\^?SAQMUGd8wjhXff~;<=?:4.Mf?viiWge<=>>5452=>uhfVddx=>?1474+ISS0:qljZhh|9:;=8;8_03a?viiWge<=>>545\5*JR\=UOSO[I9:qsewrff:o37ugciozvj4>23IJs4865F;595~U3m3==87:n0;3077?fm39?85otn641>4=i??91:6*854840<=z[=h1;;:54`2956551ho1?9:ma:Qb`?>2i3;1=>==9`g9712el2Y?n76:a;3956551ho1?9:mf:Qb`?>21381=>==9`g9712d92Yh<76:9;6:b?74;;3ji7=;4b18W1d=0<31>7?<33;ba?537}Til08896n;%1f>n2|_nk4?:082>0g3sZ>n6:8;:5c3>454:0kn6>:;8`9'310=j91];8h52zw2af<63|9?<7>4}%6b1?7>3-?36=5+578:e==#=>03j6l75983>42==k<19l=tH4ae?!3dl32>46T50:k71?6=3f=m6=44o664>5<5<#5=5<#7=54o5`6>5<#1=5<#3=if83:1(9o7:`38j1g02810c4h50;&7e=6=h3i>0?76a6c;29 1g?2h;0b9o8:498k5$5c;>d74<6290;w);ld;5`?M13k2B>ok5+74g9333<,hi15l94n643>4=#j2.jo77n7:l425<53->m576:8:m7=`<72-=>i7:6d:9~f20>280=6=4?{%7``?3b3A=?o6F:cg9'i787;I6a5>"fk33j;6`86180?!2a132>46g;0;29 23b2=?07d:i:18'30c=0110c9ok:18'30c=<0n07d:=:18'30c=<;10e9ol:18'30c=07pl86`82>3<729q/9nj55d9K31e<@11C8o?4$`a9=d1<;695+4g;9<0>=ni3im0;6)9:e;6:`>=n<;0;6)9:e;61?>o3ij0;6)9:e;6e7>=n=zj>m576:8:k74?6=,>?n69;4;h6e>5<#?5<#?5<#?5<#?5<#?6=#?50;&41`<3=21b8?4?:%56a?2532c?j7>5$67f>=><3f>jh7>5$67f>1?c32c?mn4?:%56a?2a;21b8l4?:%56a?2d<21vn:98:085>5<7s-?hh78?;I57g>N2ko1/4n4<;%56a?0?3A>i=6*nc;;b3>h0>90<7):i9;:6<>o383:1(:;j:578?l25290/;8k54398m1`=83.<9h478:9l0db=83.<9h4;9e98m1gd290/;8k54g18?l2f290/;8k54b68?xd0?10:6;4?:1y'1fb=>91C;9m4H4ae?!>d2:1/;8k5699K0g7<,hi15l94n643>==#!12m3>976g;f;29 23b21207b:nd;29 23b2=3o76g;ab83>!12m3>m?65f4`83>!12m3>h865rb65:>4<1290;w);ld;43?M13k2B>ok5+8b80?!12m3<37E:m1:&bg??f?2d<:=46;%6e=?>202c?<7>5$67f>13<3`>96=4+74g907==hj6=4+74g90f2<3th:983>5}#=jn1:?5G75a8L0ea3-2h6>5+74g90c2<@=h:7)ol:8c4?k1183k0(9h6:97;?l27290/;8k54498m14=83.<9h4;2:9j0c<72-=>i767;:k7e`<72-=>i7:ia:9l0db=83.<9h4;9e98m1`4290/;8k54g18L0eb32c?o94?:%56a?2d<2B>oh54i5d2>5<#?6=#?50;&41`<3=21b8?4?:%56a?2532c?j7>5$67f>=><3f>jh7>5$67f>1?c32c?mn4?:%56a?2a;21b8l4?:%56a?2d<21vn59?:085>5<7s-?hh78?;I57g>N2ko1/4n4<;%56a?0?3A>i=6*nc;;b3>h0>90h7):i9;:6<>o383:1(:;j:578?l25290/;8k54398m1`=83.<9h478:9l0db=83.<9h4;9e98m1gd290/;8k54g18?l2f290/;8k54b68?xd??80:6;4?:1y'1fb=>91C;9m4H4ae?!>d2:1/;8k5699K0g7<,hi15l94n643>a=#!12m3>976g;f;29 23b21207b:nd;29 23b2=3o76g;ab83>!12m3>m?65f4`83>!12m3>h865rb95a>4<1290;w);ld;43?M13k2B>ok5+8b80?!12m3<37E:m1:&bg??f?2d<:=4j;%6e=?>202c?<7>5$67f>13<3`>96=4+74g907==hj6=4+74g90f2<3th3;n4>:783>5}#=jn1:=5G75a8L0ea3-2h6>5+74g92==Oi7:=;:k7b?6=,>?n6564;n6b`?6=,>?n697k;:k7ef<72-=>i7:i3:9j0d<72-=>i7:l4:9~f=1c280=6=4?{%7``?073A=?o6F:cg9'i787;I6a5>"fk33j;6`861824>"3n003955f4183>!12m3>>76g;2;29 23b2=807d:i:18'30c=0110c9ok:18'30c=<0n07d:nc;29 23b2=l876g;a;29 23b2=i?76sm86g95?0=83:p(8mk:728L22d3A?hj6*7c;18 23b2?20D9l>;%c`>;%6e=?>202c?<7>5$67f>13<3`>96=4+74g907==hj6=4+74g90f2<3th3;k4>:783>5}#=jn1:=5G75a8L0ea3-2h6>5+74g92==Oi767;:m7ea<72-=>i7:6d:9j0de=83.<9h4;f298m1g=83.<9h4;c598yg>?83;1:7>50z&6ga<182B<8n5G5bd8 =e=;2.<9h498:J7f4=#ij02m:5a772956=#!12m3>976g;f;29 23b21207b:nd;29 23b2=3o76g;ab83>!12m3>m?65f4`83>!12m3>h865rb9:2>4<1290;w);ld;43?M13k2B>ok5+8b80?!12m3<37E:m1:&bg??f?2d<:=4>4:&7b<7>5$67f>14<3`>m6=4+74g9<==jo7>5$67f>1`432c?m7>5$67f>1e332wi45<51;494?6|,4H66`?M3dn2.3o7=4$67f>3><@=h:7)ol:8c4?k1183;>7):i9;:6<>o383:1(:;j:578?l25290/;8k54398m1`=83.<9h478:9l0db=83.<9h4;9e98m1gd290/;8k54g18?l2f290/;8k54b68?xd??;0:6;4?:1y'1fb=>91C;9m4H4ae?!>d2:1/;8k5699K0g7<,hi15l94n643>40<,=l265;7;h63>5<#?65f4g83>!12m32376a;ae83>!12m3>2h65f4`a94?"0=l0?j>54i5c94?"0=l0?o954}c:47?7=>3:1N0"0=l0=46F;b09'ef<>i>1e;;>5169'0c?=0<20e9>50;&41`<3=21b8?4?:%56a?2532c?j7>5$67f>=><3f>jh7>5$67f>1?c32c?mn4?:%56a?2a;21b8l4?:%56a?2d<21vn59;:085>5<7s-?hh78?;I57g>N2ko1/4n4<;%56a?0?3A>i=6*nc;;b3>h0>90:46*;f88;1==n<90;6)9:e;66?>o3:3:1(:;j:508?l2a290/;8k58998k1gc290/;8k548f8?l2fk3:1(:;j:5d0?>o3i3:1(:;j:5a7?>{e0>?1=7850;2x 0ec2?:0D::l;I7`b>"?k390(:;j:7:8L1d63-kh64o8;o554?7>3->m576:8:k74?6=,>?n69;4;h61>5<#?5<#?5<#?6=#?21?37d:?:18'30c=<<10e9<50;&41`<3:21b8k4?:%56a?>?32e?mi4?:%56a?2>l21b8lm50;&41`<3n:10e9o50;&41`<3k=10qo687;392?6=8r.>oi490:J40f=O=jl0(5m53:&41`<102B?n<5+ab8:e2=i??:1=o5+4g;9<0>=n<;0;6)9:e;61?>o3n3:1(:;j:9:8?j2fl3:1(:;j:5;g?>o3ij0;6)9:e;6e7>=n=zj1=36<49:183!3dl3<;7E9;c:J6gc=#0j087)9:e;4;?M2e92.jo77n7:l425<6k2.?j447599j05<72-=>i7::;:k76?6=,>?n69<4;h6e>5<#?5<#??n69h<;:k7e?6=,>?n69m;;:a<2?=93<1<7>t$4ag>36<@>>h7E;lf:&;g?5<,>?n6;64H5`2?!gd20k<7c990;3g?!2a132>46g;0;29 23b2=?07d:=:18'30c=<;10e9h50;&41`07pl77`82>3<729q/9nj5619K31e<@11C8o?4$`a9=d1<;6=3?3`>;6=4+74g900==ni3im0;6)9:e;6:`>=ni6F84b9K1f`<,1i1?6*85d85<>N3j81/mn46a69m336=9o1/8k7584:8m16=83.<9h4;5:9j0c<72-=>i767;:m7ea<72-=>i7:6d:9j07<72-=>i7:=;:k7ef<72-=>i7:i3:9j0d<72-=>i7:l4:9~f2d?280=6=4?{%7``?3b3A=?o6F:cg9'i787;I6a5>"fk33j;6`861814>"3n003955f4183>!12m3>>76g;f;29 23b21207b:nd;29 23b2=3o76g;2;29 23b2=807d:nc;29 23b2=l876g;a;29 23b2=i?76sm7cc95?0=83:p(8mk:4g8L22d3A?hj6*7c;18 23b2?20D9l>;%c`>;%6e=?>202c?<7>5$67f>13<3`>m6=4+74g9<==96=4+74g907=j6=4+74g90f2<3th:783>5}#=jn19h5G75a8L0ea3-2h6>5+74g92==O50z&6ga<2m2B<8n5G5bd8 =e=;2.<9h498:J7f4=#ij02m:5a772966=#!12m32376a;ae83>!12m3>2h65f4383>!12m3>976g;ab83>!12m3>m?65f4`83>!12m3>h865rb6`g>4<1290;w);ld;7f?M13k2B>ok5+8b80?!12m3<37E:m1:&bg??f?2d<:=4=4:&7b<5$67f>=><3f>jh7>5$67f>1?c32c?>7>5$67f>14<3`>jo7>5$67f>1`432c?m7>5$67f>1e332wi;ok51;494?6|,3><@=h:7)ol:8c4?k11838>7):i9;:6<>o383:1(:;j:578?l2a290/;8k58998k1gc290/;8k548f8?l25290/;8k54398m1gd290/;8k54g18?l2f290/;8k54b68?xd0jo0:6;4?:1y'1fb==l1C;9m4H4ae?!>d2:1/;8k5699K0g7<,hi15l94n643>70<,=l265;7;h63>5<#?65f4`a94?"0=l0?j>54i5c94?"0=l0?o954}c5`4?7=>3:1N0"0=l0=46F;b09'ef<>i>1e;;>5269'0c?=0<20e9>50;&41`<3=21b8k4?:%56a?>?32e?mi4?:%56a?2>l21b8?4?:%56a?2532c?mn4?:%56a?2a;21b8l4?:%56a?2d<21vn:m>:085>5<7s-?hh7;j;I57g>N2ko1/4n4<;%56a?0?3A>i=6*nc;;b3>h0>90946*;f88;1==n<90;6)9:e;66?>o3n3:1(:;j:9:8?j2fl3:1(:;j:5;g?>o3:3:1(:;j:508?l2fk3:1(:;j:5d0?>o3i3:1(:;j:5a7?>{e?k31=7850;2x 0ec2"?k390(:;j:7:8L1d63-kh64o8;o554?4>3->m576:8:k74?6=,>?n69;4;h6e>5<#?5<#?5<#?5<#?5<#?6=#?21?37d:?:18'30c=<<10e9h50;&41`oi4:e:J40f=O=jl0(5m53:&41`<102B?n<5+ab8:e2=i??:1>o5+4g;9<0>=ni3im0;6)9:e;6:`>=n<;0;6)9:e;61?>o3ij0;6)9:e;6e7>=n=zj>n;6<49:183!3dl3?n7E9;c:J6gc=#0j087)9:e;4;?M2e92.jo77n7:l425<5k2.?j447599j05<72-=>i7::;:k7b?6=,>?n6564;n6b`?6=,>?n697k;:k76?6=,>?n69<4;h6bg?6=,>?n69h<;:k7e?6=,>?n69m;;:a3a7=93<1<7>t$4ag>0c<@>>h7E;lf:&;g?5<,>?n6;64H5`2?!gd20k<7c990;0g?!2a132>46g;0;29 23b2=?07d:i:18'30c=0110c9ok:18'30c=<0n07d:=:18'30c=<;10e9ol:18'30c=07pl8d382>3<729q/9nj55d9K31e<@11C8o?4$`a9=d1<;6?k4$5d:>=3?3`>;6=4+74g900==h=ni6F84b9K1f`<,1i1i6*85d85<>N3j81/mn46a69m336=:o1/8k7584:8m16=83.<9h4;5:9j0c<72-=>i767;:m7ea<72-=>i7:6d:9j07<72-=>i7:=;:k7ef<72-=>i7:i3:9j0d<72-=>i7:l4:9~f2b3280=6=4?{%7``?3b3A=?o6F:cg9'i787;I6a5>"fk33j;6`861804>"3n003955f4183>!12m3>>76g;f;29 23b21207b:nd;29 23b2=3o76g;2;29 23b2=807d:nc;29 23b2=l876g;a;29 23b2=i?76sm7e795?0=83:p(8mk:4g8L22d3A?hj6*7c;g8 23b2?20D9l>;%c`>;%6e=?>202c?<7>5$67f>13<3`>m6=4+74g9<==96=4+74g907=j6=4+74g90f2<3th:783>5}#=jn19h5G75a8L0ea3-2h6>5+74g92==O50z&6ga<2m2B<8n5G5bd8 =e=;2.<9h498:J7f4=#ij02m:5a772976=#!12m32376a;ae83>!12m3>2h65f4383>!12m3>976g;ab83>!12m3>m?65f4`83>!12m3>h865rb6ae>4<1290;w);ld;7f?M13k2B>ok5+8b80?!12m3<37E:m1:&bg??f?2d<:=4<4:&7b<5$67f>=><3f>jh7>5$67f>1?c32c?>7>5$67f>14<3`>jo7>5$67f>1`432c?m7>5$67f>1e332wi4>m51;494?6|,4H66`?M3dn2.3o7=4$67f>3><@=h:7)ol:8c4?k11839>7):i9;:6<>o383:1(:;j:578?l25290/;8k54398m1`=83.<9h478:9l0db=83.<9h4;9e98m1gd290/;8k54g18?l2f290/;8k54b68?xd?;m0:6;4?:1y'1fb=>91C;9m4H4ae?!>d2:1/;8k5699K0g7<,hi15l94n643>60<,=l265;7;h63>5<#?65f4g83>!12m32376a;ae83>!12m3>2h65f4`a94?"0=l0?j>54i5c94?"0=l0?o954}c:0b?7=>3:1N0"0=l0=46F;b09'ef<>i>1e;;>5369'0c?=0<20e9>50;&41`<3=21b8?4?:%56a?2532c?j7>5$67f>=><3f>jh7>5$67f>1?c32c?mn4?:%56a?2a;21b8l4?:%56a?2d<21vn5:?:085>5<7s-?hh78?;I57g>N2ko1/4n4<;%56a?0?3A>i=6*nc;;b3>h0>90846*;f88;1==n<90;6)9:e;66?>o3:3:1(:;j:508?l2a290/;8k58998k1gc290/;8k548f8?l2fk3:1(:;j:5d0?>o3i3:1(:;j:5a7?>{e0=;1=7850;2x 0ec2?:0D::l;I7`b>"?k3o0(:;j:7:8L1d63-kh64o8;o554?5>3->m576:8:k74?6=,>?n69;4;h61>5<#?5<#?5<#?`=#?21?37d:?:18'30c=<<10e9<50;&41`<3:21b8k4?:%56a?>?32e?mi4?:%56a?2>l21b8lm50;&41`<3n:10e9o50;&41`<3k=10qo6;4;392?6=8r.>oi490:J40f=O=jl0(5m5e:&41`<102B?n<5+ab8:e2=i??:1?o5+4g;9<0>=n<;0;6)9:e;61?>o3n3:1(:;j:9:8?j2fl3:1(:;j:5;g?>o3ij0;6)9:e;6e7>=n=zj1>>6<49:183!3dl3<;7E9;c:J6gc=#0j0n7)9:e;4;?M2e92.jo77n7:l425<4k2.?j447599j05<72-=>i7::;:k76?6=,>?n69<4;h6e>5<#?5<#??n69h<;:k7e?6=,>?n69m;;:a<10=93<1<7>t$4ag>36<@>>h7E;lf:&;g?5<,>?n6;64H5`2?!gd20k<7c990;1g?!2a132>46g;0;29 23b2=?07d:=:18'30c=<;10e9h50;&41`07pl74682>3<729q/9nj5619K31e<@11C8o?4$`a9=d1<;6>k4$5d:>=3?3`>;6=4+74g900==ni3im0;6)9:e;6:`>=nN3j81/mn46a69m336=;o1/8k7584:8m16=83.<9h4;5:9j07<72-=>i7:=;:k7b?6=,>?n6564;n6b`?6=,>?n697k;:k7ef<72-=>i7:i3:9j0d<72-=>i7:l4:9~f<0a280=6=4?{%7``?073A=?o6F:cg9'i787;I6a5>"fk33j;6`861874>"3n003955f4183>!12m3>>76g;2;29 23b2=807d:i:18'30c=0110c9ok:18'30c=<0n07d:nc;29 23b2=l876g;a;29 23b2=i?76sm96295?0=83:p(8mk:728L22d3A?hj6*7c;18 23b2?20D9l>;%c`>;%6e=?>202c?<7>5$67f>13<3`>96=4+74g907==hj6=4+74g90f2<3th2;?4>:783>5}#=jn1:=5G75a8L0ea3-2h6>5+74g92==Oi767;:m7ea<72-=>i7:6d:9j0de=83.<9h4;f298m1g=83.<9h4;c598yg?0;3;1:7>50z&6ga<182B<8n5G5bd8 =e=;2.<9h498:J7f4=#ij02m:5a772906=#!12m3>976g;f;29 23b21207b:nd;29 23b2=3o76g;ab83>!12m3>m?65f4`83>!12m3>h865rb857>4<1290;w);ld;43?M13k2B>ok5+8b8f?!12m3<37E:m1:&bg??f?2d<:=4;4:&7b<7>5$67f>14<3`>m6=4+74g9<==jo7>5$67f>1`432c?m7>5$67f>1e332wi5:;51;494?6|,4H66`?M3dn2.3o7k4$67f>3><@=h:7)ol:8c4?k1183>>7):i9;:6<>o383:1(:;j:578?l25290/;8k54398m1`=83.<9h478:9l0db=83.<9h4;9e98m1gd290/;8k54g18?l2f290/;8k54b68?xd>?>0:6;4?:1y'1fb=>91C;9m4H4ae?!>d2l1/;8k5699K0g7<,hi15l94n643>10<,=l265;7;h63>5<#?65f4g83>!12m32376a;ae83>!12m3>2h65f4`a94?"0=l0?j>54i5c94?"0=l0?o954}c;43:1N0"0=l0=46F;b09'ef<>i>1e;;>5469'0c?=0<20e9>50;&41`<3=21b8?4?:%56a?2532c?j7>5$67f>=><3f>jh7>5$67f>1?c32c?mn4?:%56a?2a;21b8l4?:%56a?2d<21vn496:085>5<7s-?hh78?;I57g>N2ko1/4n4<;%56a?0?3A>i=6*nc;;b3>h0>90?46*;f88;1==n<90;6)9:e;66?>o3:3:1(:;j:508?l2a290/;8k58998k1gc290/;8k548f8?l2fk3:1(:;j:5d0?>o3i3:1(:;j:5a7?>{e1>k1=7850;2x 0ec2?:0D::l;I7`b>"?k390(:;j:7:8L1d63-kh64o8;o554?2>3->m576:8:k74?6=,>?n69;4;h61>5<#?5<#?5<#?6=#?21?37d:?:18'30c=<<10e9<50;&41`<3:21b8k4?:%56a?>?32e?mi4?:%56a?2>l21b8lm50;&41`<3n:10e9o50;&41`<3k=10qo=99;390?6=8r.>oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:5`8 1`>21?37d:<:18'30c=0h10e8?50;&41`6<729q/9nj575`8L22d3A?hj6*85d840d=#ij02m:5a77290f=#!12m32376a;5883>!12m3>2h65rb24a>4<3290;w);ld;a5?M13k2B>ok5+74g9g0=#ij02m:5a77290a=#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c1;2?7=;3:1i767;:kbf?6=,>?n6564;n66=?6=,>?n697k;:a73e=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i76n;:k65?6=,>?n6574;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f6>028086=4?{%7``?13j2B<8n5G5bd8 23b2>>j7)ol:8c4?k1183?;7):i9;:6<>o3;3:1(:;j:9:8?lge290/;8k58998k13>290/;8k548f8?xd4>l0:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k1183?:7):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e;121=7=50;2x 0ec2>>i7E9;c:J6gc=#?<;68<4$5d:>=3?3`>86=4+74g9<===h<<31<7*85d87=a=?n6n;4$`a9=d1<;68=4$5d:>=3?3`>86=4+74g9=n:283>5}#=jn1;9l4H66`?M3dn2.<9h484`9'ef<>i>1e;;>5559'0c?=0<20e9=50;&41`?32e?944?:%56a?2>l21vn>9?:087>5<7s-?hh7m9;I57g>N2ko1/;8k5c49'ef<>i>1e;;>5549'0c?=0<20e9=50;&41`>32c?j<4?:%56a?2a:21d88750;&41`<31m10qo=7a;397?6=8r.>oi484c9K31e<@"fk33j;6`861862>"3n003955f4283>!12m32376gnb;29 23b21207b::9;29 23b2=3o76sm36395?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`861863>"3n003955f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb2:a>4<4290;w);ld;57f>N022f3-kh64o8;o554?3?3->m576:8:k77?6=,>?n6564;hca>5<#?5<#?3->m576:8:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a7=e=9391<7>t$4ag>22e3A=?o6F:cg9'30c=?=k0(lm59`58j207250z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j2072290/;8k548f8?xd40m0:6>4?:1y'1fb=?=h0D::l;I7`b>"0=l0<8l5+ab8:e2=i??:19n5+4g;9<0>=nik0;6)9:e;:;?>i3=00;6)9:e;6:`>=zj:2?6<4<:183!3dl3=?n6F84b9K1f`<,>?n6::n;%c`>202c??7>5$67f>=><3`ki6=4+74g9<==:583>5}#=jn1o;5G75a8L0ea3-=>i7m:;%c`>202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi=;m51;194?6|,N2ko1/;8k575c8 de=1h=0b:8?:4d8 1`>21?37d:<:18'30c=0110ell50;&41`oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:728 1`>21?37d:<:18'30c=0h10e8?50;&41`6e82>6<729q/9nj575`8L22d3A?hj6*85d840d=#ij02m:5a772924=#!12m32376a;5883>!12m3>2h65rb07:>4<3290;w);ld;a5?M13k2B>ok5+74g9g0=#ij02m:5a772927=#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c35a?7=;3:1i767;:kbf?6=,>?n6564;n66=?6=,>?n697k;:a50g=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i76n;:k65?6=,>?n6574;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f40a28086=4?{%7``?13j2B<8n5G5bd8 23b2>>j7)ol:8c4?k1183<>7):i9;:6<>o3;3:1(:;j:9:8?lge290/;8k58998k13>290/;8k548f8?xd6=j0:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k1183<=7):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e9>:1=7=50;2x 0ec2>>i7E9;c:J6gc=#?<;6;94$5d:>=3?3`>86=4+74g9<===h<<31<7*85d87=a=i7?54;294~"2km0h:6F84b9K1f`<,>?n6n;4$`a9=d1<;6;64$5d:>=3?3`>86=4+74g9=n:283>5}#=jn1;9l4H66`?M3dn2.<9h484`9'ef<>i>1e;;>5689'0c?=0<20e9=50;&41`?32e?944?:%56a?2>l21vn<8?:087>5<7s-?hh7m9;I57g>N2ko1/;8k5c49'ef<>i>1e;;>56`9'0c?=0<20e9=50;&41`>32c?j<4?:%56a?2a:21d88750;&41`<31m10qo?82;397?6=8r.>oi484c9K31e<@"fk33j;6`86185f>"3n003955f4283>!12m32376gnb;29 23b21207b::9;29 23b2=3o76sm17095?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`86185g>"3n003955f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb050>4<4290;w);ld;57f>N022f3-kh64o8;o554?0c3->m576:8:k77?6=,>?n6564;hca>5<#?5<#?m576:8:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a522=9391<7>t$4ag>22e3A=?o6F:cg9'30c=?=k0(lm59`58j2072?l0(9h6:97;?l24290/;8k58998mdd=83.<9h478:9l00?=83.<9h4;9e98yg71i3;1?7>50z&6ga<0h0>90<<6*;f88;1==n<:0;6)9:e;:;?>ofj3:1(:;j:9:8?j2213:1(:;j:5;g?>{e9>?1=7:50;2x 0ec2j<0D::l;I7`b>"0=l0h96*nc;;b3>h0>90<=6*;f88;1==n<:0;6)9:e;:b?>o293:1(:;j:9;8?l2a93:1(:;j:5d1?>i3=00;6)9:e;6:`>=zj82i6<4<:183!3dl3=?n6F84b9K1f`<,>?n6::n;%c`>202c??7>5$67f>=><3`ki6=4+74g9<==:583>5}#=jn1o;5G75a8L0ea3-=>i7m:;%c`>202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi=5m51;194?6|,N2ko1/;8k575c8 de=1h=0b:8?:668 1`>21?37d:<:18'30c=0110ell50;&41`oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:678 1`>21?37d:<:18'30c=0h10e8?50;&41`8e82>6<729q/9nj575`8L22d3A?hj6*85d840d=#ij02m:5a772933=#!12m32376a;5883>!12m3>2h65rb05:>4<3290;w);ld;a5?M13k2B>ok5+74g9g0=#ij02m:5a772932=#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c3;a?7=;3:1i767;:kbf?6=,>?n6564;n66=?6=,>?n697k;:a52d=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i76n;:k65?6=,>?n6574;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f4>a28086=4?{%7``?13j2B<8n5G5bd8 23b2>>j7)ol:8c4?k1183=j7):i9;:6<>o3;3:1(:;j:9:8?lge290/;8k58998k13>290/;8k548f8?xd6?m0:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k1183=i7):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e90:1=7=50;2x 0ec2>>i7E9;c:J6gc=#?<;6:m4$5d:>=3?3`>86=4+74g9<===h<<31<7*85d87=a=?n6n;4$`a9=d1<;6:j4$5d:>=3?3`>86=4+74g9=n:283>5}#=jn1;9l4H66`?M3dn2.<9h484`9'ef<>i>1e;;>57d9'0c?=0<20e9=50;&41`?32e?944?:%56a?2>l21vn<6>:087>5<7s-?hh7m9;I57g>N2ko1/;8k5c49'ef<>i>1e;;>57g9'0c?=0<20e9=50;&41`>32c?j<4?:%56a?2a:21d88750;&41`<31m10qo?62;397?6=8r.>oi484c9K31e<@"fk33j;6`8618;4>"3n003955f4283>!12m32376gnb;29 23b21207b::9;29 23b2=3o76sm19195?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`8618;5>"3n003955f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb0;0>4<4290;w);ld;57f>N022f3-kh64o8;o554?>53->m576:8:k77?6=,>?n6564;hca>5<#?5<#?>h7E;lf:&41`<0

i767;:m71<<72-=>i7:6d:9~f6>b280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=0=1/8k7584:8m15=83.<9h47a:9j14<72-=>i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg5fi3;1?7>50z&6ga<0h0>90396*;f88;1==n<:0;6)9:e;:;?>ofj3:1(:;j:9:8?j2213:1(:;j:5;g?>{e;0:1=7:50;2x 0ec2j<0D::l;I7`b>"0=l0h96*nc;;b3>h0>903:6*;f88;1==n<:0;6)9:e;:b?>o293:1(:;j:9;8?l2a93:1(:;j:5d1?>i3=00;6)9:e;6:`>=zj:ki6<4<:183!3dl3=?n6F84b9K1f`<,>?n6::n;%c`>202c??7>5$67f>=><3`ki6=4+74g9<==:583>5}#=jn1o;5G75a8L0ea3-=>i7m:;%c`>202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi?lm51;194?6|,N2ko1/;8k575c8 de=1h=0b:8?:9;8 1`>21?37d:<:18'30c=0110ell50;&41`oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:9c8 1`>21?37d:<:18'30c=0h10e8?50;&41`6<729q/9nj575`8L22d3A?hj6*85d840d=#ij02m:5a7729!12m32376a;5883>!12m3>2h65rb2;7>4<3290;w);ld;a5?M13k2B>ok5+74g9g0=#ij02m:5a7729!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c1ba?7=;3:1i767;:kbf?6=,>?n6564;n66=?6=,>?n697k;:a7<3=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i76n;:k65?6=,>?n6574;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f6ga28086=4?{%7``?13j2B<8n5G5bd8 23b2>>j7)ol:8c4?k11832m7):i9;:6<>o3;3:1(:;j:9:8?lge290/;8k58998k13>290/;8k548f8?xd41?0:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k11833;7):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e;k:1=7=50;2x 0ec2>>i7E9;c:J6gc=#?<;64?4$5d:>=3?3`>86=4+74g9<===h<<31<7*85d87=a=?n6n;4$`a9=d1<;64<4$5d:>=3?3`>86=4+74g9=n:283>5}#=jn1;9l4H66`?M3dn2.<9h484`9'ef<>i>1e;;>5929'0c?=0<20e9=50;&41`?32e?944?:%56a?2>l21vn>77:087>5<7s-?hh7m9;I57g>N2ko1/;8k5c49'ef<>i>1e;;>5959'0c?=0<20e9=50;&41`>32c?j<4?:%56a?2a:21d88750;&41`<31m10qo=m2;397?6=8r.>oi484c9K31e<@"fk33j;6`8618:1>"3n003955f4283>!12m32376gnb;29 23b21207b::9;29 23b2=3o76sm3`;95?5=83:p(8mk:66a?M13k2B>ok5+74g931g<,hi15l94n643><0<,=l265;7;h60>5<#?N01e43-kh64o8;%:`>7c<;6494$5d:>=3?3`296=4+74g972=i?!12m39<7c9:c;38?j2213:1(:;j:5;g?>{e;=31=7:50;2x 0ec2j<0D::l;I7`b>"0=l0h96*nc;;b3>h0>90246*;f88;1==n<:0;6)9:e;:b?>o293:1(:;j:9;8?l2a93:1(:;j:5d1?>i3=00;6)9:e;6:`>=zj:?n6<4<:183!3dl3=?n6F84b9K1f`<,>?n6::n;%c`>202c??7>5$67f>=><3`ki6=4+74g9<==:283>5}#=jn1n>5G75a8L0ea3-=>i7:l3:&bg??f?2.3o7m576:8:k;6?6=,>?n6>94n67`>5=h0=j0:76a;5883>!12m3>2h65rb26b>4<3290;w);ld;a5?M13k2B>ok5+74g9g0=#ij02m:5a7729=g=#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c154?7=;3:1k2.?j447599j06<72-=>i767;:kbf?6=,>?n6564;n66=?6=,>?n697k;:a700=9391<7>t$4ag>g5<@>>h7E;lf:&41`<3k:1/mn46a69'o7>4;h:0>5<#?=h<<31<7*85d87=a=?n6n;4$`a9=d1<;64k4$5d:>=3?3`>86=4+74g9=n:283>5}#=jn1;9l4H66`?M3dn2.<9h484`9'ef<>i>1e;;>59g9'0c?=0<20e9=50;&41`?32e?944?:%56a?2>l21vn>;8:080>5<7s-?hh7l<;I57g>N2ko1/;8k54b18 de=1h=0(5m52d9m336=i91/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54o57:>5<#?m576:8:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a734=9391<7>t$4ag>22e3A=?o6F:cg9'30c=?=k0(lm59`58j2072h80(9h6:97;?l24290/;8k58998mdd=83.<9h478:9l00?=83.<9h4;9e98yg5203;1?7>50z&6gad2;o0b:8?:`18 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3f>>57>5$67f>1?c32wi?9j51;694?6|,4?:%56a?>f32c>=7>5$67f>=?<3`>m=7>5$67f>1`532e?944?:%56a?2>l21vn>8<:080>5<7s-?hh79;b:J40f=O=jl0(:;j:66b?!gd20k<7c990;c6?!2a132>46g;3;29 23b21207dom:18'30c=0110c9;6:18'30c=<0n07pl<5882>6<729q/9nj5b29K31e<@"fk33j;6*7c;0f?k1183k=7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:m71<<72-=>i7:6d:9~f62b280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=i>1/8k7584:8m15=83.<9h47a:9j14<72-=>i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg51<3;1?7>50z&6ga<0h0>90j46*;f88;1==n<:0;6)9:e;:;?>ofj3:1(:;j:9:8?j2213:1(:;j:5;g?>{e;"0=l0?o>5+ab8:e2=#0j09i6`8618b=>"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921d88750;&41`<31m10qo=;f;390?6=8r.>oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:`c8 1`>21?37d:<:18'30c=0h10e8?50;&41`6<729q/9nj575`8L22d3A?hj6*85d840d=#ij02m:5a7729eg=#!12m32376a;5883>!12m3>2h65rb27a>4<4290;w);ld;`0?M13k2B>ok5+74g90f5<,hi15l94$9a96`=i??:1mn5+4g;9<0>h0=j0;76g73;29 23b2:=0b:;l:098k13>290/;8k548f8?xd4=90:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k1183ko7):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e;?<1=7=50;2x 0ec2>>i7E9;c:J6gc=#?<;6lk4$5d:>=3?3`>86=4+74g9<===h<<31<7*85d87=a=o7?53;294~"2km0i?6F84b9K1f`<,>?n69m<;%c`>d`<,=l265;7;h:1>5<#?=n0:0;6)9:e;14?k12k3;07b::9;29 23b2=3o76sm34395?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`8618a4>"3n003955f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb244>4<4290;w);ld;57f>N022f3-kh64o8;o554?d63->m576:8:k77?6=,>?n6564;hca>5<#?5<#?202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>i3=00;6)9:e;6:`>=zj:?96<4;:183!3dl3i=7E9;c:J6gc=#?5+4g;9<0>=n=80;6)9:e;::?>o3n80;6)9:e;6e6>=h<<31<7*85d87=a=i79;a:&bg??f?2d<:=4m4:&7b<4?:%56a?>?32cjn7>5$67f>=><3f>>57>5$67f>1?c32wi?8:51;194?6|,i>1/4n4=e:l425i7=8;o56g?6<3`286=4+74g972=i?2.?j447599j06<72-=>i767;:kbf?6=,>?n6564;n66=?6=,>?n697k;:a7cd=9391<7>t$4ag>g5<@>>h7E;lf:&41`<3k:1/mn46a69'o7>4;h:0>5<#?=h<<31<7*85d87=a=?n6n;4$`a9=d1<;6o64$5d:>=3?3`>86=4+74g9=n:283>5}#=jn1;9l4H66`?M3dn2.<9h484`9'ef<>i>1e;;>5b89'0c?=0<20e9=50;&41`?32e?944?:%56a?2>l21vn>hk:080>5<7s-?hh7l<;I57g>N2ko1/;8k54b18 de=1h=0(5m52d9m336=jh1/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54o57:>5<#?m576:8:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a05>=9391<7>t$4ag>22e3A=?o6F:cg9'30c=?=k0(lm59`58j2072ki0(9h6:97;?l24290/;8k58998mdd=83.<9h478:9l00?=83.<9h4;9e98yg5am3;1?7>50z&6gad2;o0b:8?:cf8 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3f>>57>5$67f>1?c32wi?k=51;694?6|,4?:%56a?>f32c>=7>5$67f>=?<3`>m=7>5$67f>1`532e?944?:%56a?2>l21vn9>6:080>5<7s-?hh79;b:J40f=O=jl0(:;j:66b?!gd20k<7c990;`e?!2a132>46g;3;29 23b21207dom:18'30c=0110c9;6:18'30c=<0n07pl6<729q/9nj5b29K31e<@"fk33j;6*7c;0f?k1183i;7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:m71<<72-=>i7:6d:9~f6`3280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=k81/8k7584:8m15=83.<9h47a:9j14<72-=>i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg27i3;1?7>50z&6ga<0h0>90h>6*;f88;1==n<:0;6)9:e;:;?>ofj3:1(:;j:9:8?j2213:1(:;j:5;g?>{e<9:1=7=50;2x 0ec2k90D::l;I7`b>"0=l0?o>5+ab8:e2=#0j09i6`8618`7>"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921d88750;&41`<31m10qo=i5;390?6=8r.>oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:b68 1`>21?37d:<:18'30c=0h10e8?50;&41`6<729q/9nj575`8L22d3A?hj6*85d840d=#ij02m:5a7729g0=#!12m32376a;5883>!12m3>2h65rb522>4<4290;w);ld;`0?M13k2B>ok5+74g90f5<,hi15l94$9a96`=i??:1o;5+4g;9<0>h0=j0;76g73;29 23b2:=0b:;l:098k13>290/;8k548f8?xd4n?0:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k1183i<7):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e<9i1=7=50;2x 0ec2>>i7E9;c:J6gc=#?<;6n64$5d:>=3?3`>86=4+74g9<===h<<31<7*85d87=a=;>7?53;294~"2km0i?6F84b9K1f`<,>?n69m<;%c`>f?<,=l265;7;h:1>5<#?=n0:0;6)9:e;14?k12k3;07b::9;29 23b2=3o76sm3g595?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`8618`e>"3n003955f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb52g>4<4290;w);ld;57f>N022f3-kh64o8;o554?ee3->m576:8:k77?6=,>?n6564;hca>5<#?5<#?202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>i3=00;6)9:e;6:`>=zj:l36<4;:183!3dl3i=7E9;c:J6gc=#?=n=80;6)9:e;::?>o3n80;6)9:e;6e6>=h<<31<7*85d87=a=;i7?53;294~"2km0<8o5G75a8L0ea3-=>i79;a:&bg??f?2d<:=4le:&7b<4?:%56a?>?32cjn7>5$67f>=><3f>>57>5$67f>1?c32wi8=:51;194?6|,i>1/4n4=e:l425i7=8;o56g?6<3`286=4+74g972=i?N0f3<,hi15l94n643>a6<,=l265;7;h60>5<#?5<#?>h7E;lf:&41`<0

i767;:m71<<72-=>i7:6d:9~f16228086=4?{%7``?d43A=?o6F:cg9'30c=5d39'0c?=0<20e5<50;&41`<4?2d<9n4?;:k;7?6=,>?n6>94n67`>4=:583>5}#=jn1o;5G75a8L0ea3-=>i7m:;%c`>202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi8<>51;194?6|,N2ko1/;8k575c8 de=1h=0b:8?:e68 1`>21?37d:<:18'30c=0110ell50;&41`oi4m3:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:3g8j2072m?0(9h6:97;?l>5290/;8k5369m30e=821b4>4?:%56a?503g=>o7?4;n66=?6=,>?n697k;:a051=9391<7>t$4ag>22e3A=?o6F:cg9'30c=?=k0(lm59`58j2072m<0(9h6:97;?l24290/;8k58998mdd=83.<9h478:9l00?=83.<9h4;9e98yg7d>3;187>50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j2072m=0(9h6:97;?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd6l00:6>4?:1y'1fb=?=h0D::l;I7`b>"0=l0<8l5+ab8:e2=i??:1h55+4g;9<0>=nik0;6)9:e;:;?>i3=00;6)9:e;6:`>=zj8im6<4;:183!3dl3i=7E9;c:J6gc=#?=n=80;6)9:e;::?>o3n80;6)9:e;6e6>=h<<31<7*85d87=a=i79;a:&bg??f?2d<:=4ka:&7b<4?:%56a?>?32cjn7>5$67f>=><3f>>57>5$67f>1?c32wi=i>51;694?6|,4?:%56a?>f32c>=7>5$67f>=?<3`>m=7>5$67f>1`532e?944?:%56a?2>l21vn5<7s-?hh79;b:J40f=O=jl0(:;j:66b?!gd20k<7c990;f`?!2a132>46g;3;29 23b21207dom:18'30c=0110c9;6:18'30c=<0n07pl>d082>1<729q/9nj5c79K31e<@46g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c=ok5+74g931g<,hi15l94n643>ac<,=l265;7;h60>5<#?N0f3<,hi15l94n643>a`<,=l265;7;h60>5<#?5<#?>h7E;lf:&41`<0

i767;:m71<<72-=>i7:6d:9~f4b4280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=m81/8k7584:8m15=83.<9h47a:9j14<72-=>i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg7b?3;1?7>50z&6ga<0h0>90n>6*;f88;1==n<:0;6)9:e;:;?>ofj3:1(:;j:9:8?j2213:1(:;j:5;g?>{e9m>1=7:50;2x 0ec2j<0D::l;I7`b>"0=l0h96*nc;;b3>h0>90n?6*;f88;1==n<:0;6)9:e;:b?>o293:1(:;j:9;8?l2a93:1(:;j:5d1?>i3=00;6)9:e;6:`>=zj8o36<4<:183!3dl3=?n6F84b9K1f`<,>?n6::n;%c`>202c??7>5$67f>=><3`ki6=4+74g9<==:583>5}#=jn1o;5G75a8L0ea3-=>i7m:;%c`>202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi=h751;194?6|,N2ko1/;8k575c8 de=1h=0b:8?:d48 1`>21?37d:<:18'30c=0110ell50;&41`oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:d58 1`>21?37d:<:18'30c=0h10e8?50;&41`e`82>6<729q/9nj575`8L22d3A?hj6*85d840d=#ij02m:5a7729a==#!12m32376a;5883>!12m3>2h65rb0f4>4<3290;w);ld;a5?M13k2B>ok5+74g9g0=#ij02m:5a7729a<=#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c3ff?7=;3:1i767;:kbf?6=,>?n6564;n66=?6=,>?n697k;:a5f1=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i76n;:k65?6=,>?n6574;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f4bf28086=4?{%7``?13j2B<8n5G5bd8 23b2>>j7)ol:8c4?k1183oh7):i9;:6<>o3;3:1(:;j:9:8?lge290/;8k58998k13>290/;8k548f8?xd6k10:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k1183oo7):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e9mh1=7=50;2x 0ec2>>i7E9;c:J6gc=#?<;6hk4$5d:>=3?3`>86=4+74g9<===h<<31<7*85d87=a=?n6n;4$`a9=d1<;6hh4$5d:>=3?3`>86=4+74g9=n:283>5}#=jn1;9l4H66`?M3dn2.<9h484`9'ef<>i>1e;;>5f19'0c?=0<20e9=50;&41`?32e?944?:%56a?2>l21vn5<7s-?hh7m9;I57g>N2ko1/;8k5c49'ef<>i>1e;;>5f09'0c?=0<20e9=50;&41`>32c?j<4?:%56a?2a:21d88750;&41`<31m10qo?kd;397?6=8r.>oi484c9K31e<@"fk33j;6`8618e6>"3n003955f4283>!12m32376gnb;29 23b21207b::9;29 23b2=3o76sm1b`95?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`8618e7>"3n003955f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb0ff>4<4290;w);ld;57f>N022f3-kh64o8;o554?`33->m576:8:k77?6=,>?n6564;hca>5<#?5<#?m576:8:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a5a`=9391<7>t$4ag>22e3A=?o6F:cg9'30c=?=k0(lm59`58j2072o<0(9h6:97;?l24290/;8k58998mdd=83.<9h478:9l00?=83.<9h4;9e98yg7dl3;187>50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j2072o=0(9h6:97;?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd6m90:6>4?:1y'1fb=?=h0D::l;I7`b>"0=l0<8l5+ab8:e2=i??:1j55+4g;9<0>=nik0;6)9:e;:;?>i3=00;6)9:e;6:`>=zj8in6<4;:183!3dl3i=7E9;c:J6gc=#?=n=80;6)9:e;::?>o3n80;6)9:e;6e6>=h<<31<7*85d87=a=i79;a:&bg??f?2d<:=4ia:&7b<4?:%56a?>?32cjn7>5$67f>=><3f>>57>5$67f>1?c32wi=h<51;194?6|,N2ko1/;8k575c8 de=1h=0b:8?:g`8 1`>21?37d:<:18'30c=0110ell50;&41`oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:ga8 1`>21?37d:<:18'30c=0h10e8?50;&41`1<729q/9nj5c79K31e<@46g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c="fk33j;6`8618ea>"3n003955f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb210>4<3290;w);ld;a5?M13k2B>ok5+74g9g0=#ij02m:5a7729bc=#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c100?7=<3:1N0f3<,hi15l94n643>4673->m576:8:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a763=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg54>3;187>50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j20728:97):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{ek10:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k1183;;?6*;f88;1==n<:0;6)9:e;:b?>o293:1(:;j:9;8?l2a93:1(:;j:5d1?>i3=00;6)9:e;6:`>=zjmo1=7=50;2x 0ec2>>i7E9;c:J6gc=#?<;6<>;;%6e=?>202c??7>5$67f>=><3`ki6=4+74g9<==?n6n;4$`a9=d1<;6<>:;%6e=?>202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wii=4>:283>5}#=jn1;9l4H66`?M3dn2.<9h484`9'ef<>i>1e;;>51148 1`>21?37d:<:18'30c=0110ell50;&41`5<7s-?hh7m9;I57g>N2ko1/;8k5c49'ef<>i>1e;;>51158 1`>21?37d:<:18'30c=0h10e8?50;&41`oi484c9K31e<@"fk33j;6`861824==#!12m32376a;5883>!12m3>2h65rbe595?5=83:p(8mk:c18L22d3A?hj6*85d87g6=#ij02m:5+8b82b>h0>90:<45+4g;9<0>h0=j0;76g73;29 23b2:=0b:;l:098k13>290/;8k548f8?xddl3;187>50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j20728:j7):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{em;0:6>4?:1y'1fb=?=h0D::l;I7`b>"0=l0<8l5+ab8:e2=i??:1==l4$5d:>=3?3`>86=4+74g9<===h<<31<7*85d87=a=5<#?=n0:0;6)9:e;14?k12k3;07b::9;29 23b2=3o76smcd82>1<729q/9nj5c79K31e<@"3n003955f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rbd195?5=83:p(8mk:66a?M13k2B>ok5+74g931g<,hi15l94n643>46b3->m576:8:k77?6=,>?n6564;hca>5<#?5<#?N01e43-kh64o8;%:`>4`<;6<>i;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>i3=00;6)9:e;6:`>=zjjl1=7:50;2x 0ec2j<0D::l;I7`b>"0=l0h96*nc;;b3>h0>90:==5+4g;9<0>=n=80;6)9:e;::?>o3n80;6)9:e;6e6>=h<<31<7*85d87=a=?n6::n;%c`>1:&7b<4?:%56a?>?32cjn7>5$67f>=><3f>>57>5$67f>1?c32wihl4>:283>5}#=jn1n>5G75a8L0ea3-=>i7:l3:&bg??f?2.3o7?i;o554?76:2.?j447599j<7<72-=>i7=8;o56g?6<3`286=4+74g972=i?4<3290;w);ld;a5?M13k2B>ok5+74g9g0=#ij02m:5a7729545<,=l265;7;h60>5<#?5<#?i767;:m71<<72-=>i7:6d:9~fad=9391<7>t$4ag>g5<@>>h7E;lf:&41`<3k:1/mn46a69'149'0c?=0<20e5<50;&41`<4?2d<9n4?;:k;7?6=,>?n6>94n67`>4=?n6n;4$`a9=d1<;6202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wii;4>:283>5}#=jn1;9l4H66`?M3dn2.<9h484`9'ef<>i>1e;;>51058 1`>21?37d:<:18'30c=0110ell50;&41`5<7s-?hh7l<;I57g>N2ko1/;8k54b18 de=1h=0(5m51g9m336=9820(9h6:97;?l>5290/;8k5369m30e=821b4>4?:%56a?503g=>o7?4;n66=?6=,>?n697k;:a`7<62=0;6=u+5bf9g3=O?=i0D8mi;%56a?e23-kh64o8;o554?7612.?j447599j06<72-=>i76n;:k65?6=,>?n6574;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f`1=9391<7>t$4ag>22e3A=?o6F:cg9'30c=?=k0(lm59`58j20728;j7):i9;:6<>o3;3:1(:;j:9:8?lge290/;8k58998k13>290/;8k548f8?xdcl3;1?7>50z&6gad28l0b:8?:03a?!2a132>46g72;29 23b2:=0b:;l:198m=5=83.<9h4<7:l41f<632e?944?:%56a?2>l21vni=51;694?6|,1b9'0c?=0<20e9=50;&41`>32c?j<4?:%56a?2a:21d88750;&41`<31m10qok7:080>5<7s-?hh79;b:J40f=O=jl0(:;j:66b?!gd20k<7c990;32`>"3n003955f4283>!12m32376gnb;29 23b21207b::9;29 23b2=3o76smd582>6<729q/9nj5b29K31e<@"fk33j;6*7c;3e?k1183;:i6*;f88;1==n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9l00?=83.<9h4;9e98ygba28086=4?{%7``?13j2B<8n5G5bd8 23b2>>j7)ol:8c4?k1183;:j6*;f88;1==n<:0;6)9:e;:;?>ofj3:1(:;j:9:8?j2213:1(:;j:5;g?>{em00:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k1183;9<6*;f88;1==n<:0;6)9:e;:b?>o293:1(:;j:9;8?l2a93:1(:;j:5d1?>i3=00;6)9:e;6:`>=zjol1=7=50;2x 0ec2>>i7E9;c:J6gc=#?<;6<<>;%6e=?>202c??7>5$67f>=><3`ki6=4+74g9<==?n6n;4$`a9=d1<;6<<=;%6e=?>202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi==?51;194?6|,N2ko1/;8k575c8 de=1h=0b:8?:000?!2a132>46g;3;29 23b21207dom:18'30c=0110c9;6:18'30c=<0n07pljc;390?6=8r.>oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:007?!2a132>46g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c=ok5+74g931g<,hi15l94n643>4423->m576:8:k77?6=,>?n6564;hca>5<#?5<#?N0f3<,hi15l94n643>4413->m576:8:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a555=9391<7>t$4ag>22e3A=?o6F:cg9'30c=?=k0(lm59`58j207288<7):i9;:6<>o3;3:1(:;j:9:8?lge290/;8k58998k13>290/;8k548f8?xdbm3;187>50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j20728837):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e99>1=7=50;2x 0ec2>>i7E9;c:J6gc=#?<;6<<6;%6e=?>202c??7>5$67f>=><3`ki6=4+74g9<==?n6n;4$`a9=d1<;6<202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi==;51;194?6|,N2ko1/;8k575c8 de=1h=0b:8?:00a?!2a132>46g;3;29 23b21207dom:18'30c=0110c9;6:18'30c=<0n07pli0;390?6=8r.>oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:00`?!2a132>46g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c=ok5+74g931g<,hi15l94n643>44c3->m576:8:k77?6=,>?n6564;hca>5<#?5<#?N0f3<,hi15l94n643>44b3->m576:8:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a551=9391<7>t$4ag>22e3A=?o6F:cg9'30c=?=k0(lm59`58j207288m7):i9;:6<>o3;3:1(:;j:9:8?lge290/;8k58998k13>290/;8k548f8?xda:3;187>50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j207289;7):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e9921=7=50;2x 0ec2>>i7E9;c:J6gc=#?<;6<=>;%6e=?>202c??7>5$67f>=><3`ki6=4+74g9<==?n6n;4$`a9=d1<;6<==;%6e=?>202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi==751;194?6|,N2ko1/;8k575c8 de=1h=0b:8?:010?!2a132>46g;3;29 23b21207dom:18'30c=0110c9;6:18'30c=<0n07pl>0182>6<729q/9nj575`8L22d3A?hj6*85d840d=#ij02m:5a7729562<,=l265;7;h60>5<#?N0f3<,hi15l94n643>4523->m576:8:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a7f?=9391<7>t$4ag>22e3A=?o6F:cg9'30c=?=k0(lm59`58j207289=7):i9;:6<>o3;3:1(:;j:9:8?lge290/;8k58998k13>290/;8k548f8?xd4j<0:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k1183;8;6*;f88;1==n<:0;6)9:e;:b?>o293:1(:;j:9;8?l2a93:1(:;j:5d1?>i3=00;6)9:e;6:`>=zj:ij6<4<:183!3dl3=?n6F84b9K1f`<,>?n6::n;%c`>4?:%56a?>?32cjn7>5$67f>=><3f>>57>5$67f>1?c32wi?o851;694?6|,389'0c?=0<20e9=50;&41`>32c?j<4?:%56a?2a:21d88750;&41`<31m10qo=lb;397?6=8r.>oi484c9K31e<@"fk33j;6`861827d=#!12m32376a;5883>!12m3>2h65rb2`;>4<3290;w);ld;a5?M13k2B>ok5+74g9g0=#ij02m:5a772956d<,=l265;7;h60>5<#?5<#?>h7E;lf:&41`<0

50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j207289o7):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e;jn1=7=50;2x 0ec2>>i7E9;c:J6gc=#?<;6<=j;%6e=?>202c??7>5$67f>=><3`ki6=4+74g9<==:583>5}#=jn1o;5G75a8L0ea3-=>i7m:;%c`>4?:%56a?>f32c>=7>5$67f>=?<3`>m=7>5$67f>1`532e?944?:%56a?2>l21vn>mj:080>5<7s-?hh79;b:J40f=O=jl0(:;j:66b?!gd20k<7c990;374>"3n003955f4283>!12m32376gnb;29 23b21207b::9;29 23b2=3o76sm3ca95?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`8618204=#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c1`b?7=;3:1i767;:m71<<72-=>i7:6d:9~f6dc280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=9=90(9h6:97;?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd4l90:6>4?:1y'1fb=?=h0D::l;I7`b>"0=l0<8l5+ab8:e2=i??:1=9:4$5d:>=3?3`>86=4+74g9<===h<<31<7*85d87=a=?n6n;4$`a9=d1<;6<::;%6e=?>202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi?i?51;194?6|,N2ko1/;8k575c8 de=1h=0b:8?:065?!2a132>46g;3;29 23b21207dom:18'30c=0110c9;6:18'30c=<0n07pl6<729q/9nj575`8L22d3A?hj6*85d840d=#ij02m:5a7729511<,=l265;7;h60>5<#?N0f3<,hi15l94n643>42?3->m576:8:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a5d2=9391<7>t$4ag>22e3A=?o6F:cg9'30c=?=k0(lm59`58j20728>27):i9;:6<>o3;3:1(:;j:9:8?lge290/;8k58998k13>290/;8k548f8?xd61<0:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k1183;?m6*;f88;1==n<:0;6)9:e;:b?>o293:1(:;j:9;8?l2a93:1(:;j:5d1?>i3=00;6)9:e;6:`>=zj8k>6<4<:183!3dl3=?n6F84b9K1f`<,>?n6::n;%c`>4?:%56a?>?32cjn7>5$67f>=><3f>>57>5$67f>1?c32wi=4951;694?6|,4b9'0c?=0<20e9=50;&41`>32c?j<4?:%56a?2a:21d88750;&41`<31m10qo?n6;397?6=8r.>oi484c9K31e<@"fk33j;6`861820a=#!12m32376a;5883>!12m3>2h65rb0;;>4<3290;w);ld;a5?M13k2B>ok5+74g9g0=#ij02m:5a772951c<,=l265;7;h60>5<#?5<#?>h7E;lf:&41`<0

i3;187>50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j20728?;7):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e9h21=7=50;2x 0ec2>>i7E9;c:J6gc=#?<;6<;>;%6e=?>202c??7>5$67f>=><3`ki6=4+74g9<==:583>5}#=jn1o;5G75a8L0ea3-=>i7m:;%c`>4?:%56a?>f32c>=7>5$67f>=?<3`>m=7>5$67f>1`532e?944?:%56a?2>l21vn5<7s-?hh79;b:J40f=O=jl0(:;j:66b?!gd20k<7c990;367>"3n003955f4283>!12m32376gnb;29 23b21207b::9;29 23b2=3o76sm18a95?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`8618211=#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c3be?7=;3:1i767;:m71<<72-=>i7:6d:9~f4?c280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=9<<0(9h6:97;?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd6ik0:6>4?:1y'1fb=?=h0D::l;I7`b>"0=l0<8l5+ab8:e2=i??:1=894$5d:>=3?3`>86=4+74g9<===h<<31<7*85d87=a=?n6n;4$`a9=d1<;6<;7;%6e=?>202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi=lm51;194?6|,N2ko1/;8k575c8 de=1h=0b:8?:07:?!2a132>46g;3;29 23b21207dom:18'30c=0110c9;6:18'30c=<0n07pl>a282>6<729q/9nj575`8L22d3A?hj6*85d840d=#ij02m:5a772950g<,=l265;7;h60>5<#?N0f3<,hi15l94n643>43e3->m576:8:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a7`>=9391<7>t$4ag>22e3A=?o6F:cg9'30c=?=k0(lm59`58j20728?h7):i9;:6<>o3;3:1(:;j:9:8?lge290/;8k58998k13>290/;8k548f8?xd4l=0:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k1183;>h6*;f88;1==n<:0;6)9:e;:b?>o293:1(:;j:9;8?l2a93:1(:;j:5d1?>i3=00;6)9:e;6:`>=zj:o26<4<:183!3dl3=?n6F84b9K1f`<,>?n6::n;%c`>4?:%56a?>?32cjn7>5$67f>=><3f>>57>5$67f>1?c32wi?i;51;694?6|,5g9'0c?=0<20e9=50;&41`>32c?j<4?:%56a?2a:21d88750;&41`<31m10qo=ja;397?6=8r.>oi484c9K31e<@"fk33j;6`8618225=#!12m32376a;5883>!12m3>2h65rb2f4>4<3290;w);ld;a5?M13k2B>ok5+74g9g0=#ij02m:5a7729537<,=l265;7;h60>5<#?5<#?>h7E;lf:&41`<0

50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j20728<87):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e;li1=7=50;2x 0ec2>>i7E9;c:J6gc=#?<;6<8;;%6e=?>202c??7>5$67f>=><3`ki6=4+74g9<==:583>5}#=jn1o;5G75a8L0ea3-=>i7m:;%c`>4?:%56a?>f32c>=7>5$67f>=?<3`>m=7>5$67f>1`532e?944?:%56a?2>l21vn>kk:080>5<7s-?hh79;b:J40f=O=jl0(:;j:66b?!gd20k<7c990;352>"3n003955f4283>!12m32376gnb;29 23b21207b::9;29 23b2=3o76sm3e`95?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`8618222=#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c1fa?7=;3:111/8k7584:8m15=83.<9h478:9jeg<72-=>i767;:m71<<72-=>i7:6d:9~f6bd280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=9?30(9h6:97;?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd4mo0:6>4?:1y'1fb=?=h0D::l;I7`b>"0=l0<8l5+ab8:e2=i??:1=;o4$5d:>=3?3`>86=4+74g9<===h<<31<7*85d87=a=?n6n;4$`a9=d1<;6<8m;%6e=?>202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi?k>51;194?6|,N2ko1/;8k575c8 de=1h=0b:8?:04`?!2a132>46g;3;29 23b21207dom:18'30c=0110c9;6:18'30c=<0n07pl6<729q/9nj575`8L22d3A?hj6*85d840d=#ij02m:5a772953b<,=l265;7;h60>5<#?N0f3<,hi15l94n643>40b3->m576:8:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a5gb=9391<7>t$4ag>22e3A=?o6F:cg9'30c=?=k0(lm59`58j20728o3;3:1(:;j:9:8?lge290/;8k58998k13>290/;8k548f8?xd6il0:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k1183;<<6*;f88;1==n<:0;6)9:e;:b?>o293:1(:;j:9;8?l2a93:1(:;j:5d1?>i3=00;6)9:e;6:`>=zj8hn6<4<:183!3dl3=?n6F84b9K1f`<,>?n6::n;%c`>4?:%56a?>?32cjn7>5$67f>=><3f>>57>5$67f>1?c32wi=o>51;694?6|,739'0c?=0<20e9=50;&41`>32c?j<4?:%56a?2a:21d88750;&41`<31m10qo?mf;397?6=8r.>oi484c9K31e<@"fk33j;6`8618236=#!12m32376a;5883>!12m3>2h65rb0`2>4<3290;w);ld;a5?M13k2B>ok5+74g9g0=#ij02m:5a7729522<,=l265;7;h60>5<#?5<#?>h7E;lf:&41`<0

?0(9h6:97;?l24290/;8k58998mdd=83.<9h478:9l00?=83.<9h4;9e98yg7e;3;187>50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j20728==7):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e9j;1=7=50;2x 0ec2>>i7E9;c:J6gc=#?<;6<98;%6e=?>202c??7>5$67f>=><3`ki6=4+74g9<==:583>5}#=jn1o;5G75a8L0ea3-=>i7m:;%c`>4?:%56a?>f32c>=7>5$67f>=?<3`>m=7>5$67f>1`532e?944?:%56a?2>l21vn5<7s-?hh79;b:J40f=O=jl0(:;j:66b?!gd20k<7c990;34=>"3n003955f4283>!12m32376gnb;29 23b21207b::9;29 23b2=3o76sm1c795?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`861823d=#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c3`7?7=;3:1i767;:m71<<72-=>i7:6d:9~f4d1280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=9>i0(9h6:97;?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd6k=0:6>4?:1y'1fb=?=h0D::l;I7`b>"0=l0<8l5+ab8:e2=i??:1=:j4$5d:>=3?3`>86=4+74g9<===h<<31<7*85d87=a=?n6n;4$`a9=d1<;6<9j;%6e=?>202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi=n;51;194?6|,N2ko1/;8k575c8 de=1h=0b:8?:05e?!2a132>46g;3;29 23b21207dom:18'30c=0110c9;6:18'30c=<0n07pl>bb82>6<729q/9nj575`8L22d3A?hj6*85d840d=#ij02m:5a77295=6<,=l265;7;h60>5<#?N0f3<,hi15l94n643>4>63->m576:8:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a6`7=93>1<7>t$4ag>g0<@>>h7E;lf:&41`<3k:1/mn46a69'839'0c?=0<20e5<50;&41`<4?2d<9n4?;:k;7?6=,>?n6>94n67`>4=1<7*85d803>h0=j0976a;5883>!12m3>2h65rb3f4>4<3290;w);ld;a5?M13k2B>ok5+74g9g0=#ij02m:5a77295=5<,=l265;7;h60>5<#?5<#?<;6<6;;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>i3=00;6)9:e;6:`>=zj;n36<4;:183!3dl3i=7E9;c:J6gc=#?=3?3`>86=4+74g9=n:583>5}#=jn1o;5G75a8L0ea3-=>i7m:;%c`>4?:%56a?>f32c>=7>5$67f>=?<3`>m=7>5$67f>1`532e?944?:%56a?2>l21vn?k<:080>5<7s-?hh7l<;I57g>N2ko1/;8k54b18 de=1h=0(5m5e:l425<60>1/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54o57:>5<#?i76n;:k65?6=,>?n6574;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f7bd280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=9130(9h6:97;?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd5ll0:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k1183;3m6*;f88;1==n<:0;6)9:e;:b?>o293:1(:;j:9;8?l2a93:1(:;j:5d1?>i3=00;6)9:e;6:`>=zj::<6<4<:183!3dl3h87E9;c:J6gc=#?o?;3:1(:;j:258j23d2810c9;6:18'30c=<0n07pl=fb82>1<729q/9nj5c79K31e<@"3n003955f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb22:>4<2290;w);ld;`;?M13k2B>ok5+74g90f5<,hi15l94$9a96d=i??:1=5j4$5d:>=3?3`296=4+74g972=i?!12m39<7c9:c;38?l>3290/;8k5369m30e=:21b484?:%56a?503g=>o7=4;n66=?6=,>?n697k;:a6c`=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg57j3;197>50z&6gad2=1e;;>519d8 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3`2?6=4+74g972=i?65f8483>!12m39<7c9:c;18?j2213:1(:;j:5;g?>{e;981=7:50;2x 0ec2j<0D::l;I7`b>"0=l0h96*nc;;b3>h0>90:5=5+4g;9<0>=n=80;6)9:e;::?>o3n80;6)9:e;6e6>=h<<31<7*85d87=a=?n6n;4$`a9=d1<;6<7>;%6e=?>202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi?=651;794?6|,i>1/4n4;;o554?7>:2.?j447599j<7<72-=>i7=8;o56g?6<3`286=4+74g972=i?!12m39<7c9:c;08?l>2290/;8k5369m30e=;21d88750;&41`<31m10qooi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:0;0?!2a132>46g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c=1e;8m51:9j<1<72-=>i7=8;o56g?4<3`2>6=4+74g972=i?N0f3<,hi15l94n643>4?23->m576:8:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a75e=9391<7>t$4ag>g5<@>>h7E;lf:&41`<3k:1/mn46a69'o7>4;h:0>5<#?=h<<31<7*85d87=a=?n6n;4$`a9=d1<;6<78;%6e=?>202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi?=851;694?6|,999'0c?=0<20e9=50;&41`>32c?j<4?:%56a?2a:21d88750;&41`<31m10qooi4m6:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:3;8j20728327):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:k;0?6=,>?n6>94n67`>7=:583>5}#=jn1o;5G75a8L0ea3-=>i7m:;%c`>4?:%56a?>f32c>=7>5$67f>=?<3`>m=7>5$67f>1`532e?944?:%56a?2>l21vn?j?:087>5<7s-?hh7l9;I57g>N2ko1/;8k54b18 de=1h=0(5m57:l425<61k1/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54i9694?"0=l08;6`85b81?>i3=00;6)9:e;6:`>=zj;ii6<4;:183!3dl3i=7E9;c:J6gc=#?=3?3`>86=4+74g9=n:583>5}#=jn1n;5G75a8L0ea3-=>i7:l3:&bg??f?2.3o7<6;o554?7>l2.?j447599j<7<72-=>i7=8;o56g?6<3`286=4+74g972=i?!12m39<7c9:c;08?j2213:1(:;j:5;g?>{e:ji1=7:50;2x 0ec2j<0D::l;I7`b>"0=l0h96*nc;;b3>h0>90:5h5+4g;9<0>=n=80;6)9:e;::?>o3n80;6)9:e;6e6>=h<<31<7*85d87=a=?n6n;4$`a9=d1<;6<7i;%6e=?>202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi>nk51;694?6|,a19'0c?=0<20e9=50;&41`>32c?j<4?:%56a?2a:21d88750;&41`<31m10qo<69;391?6=8r.>oi4m8:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:59m336=9h;0(9h6:97;?l>5290/;8k5369m30e=821b4>4?:%56a?503g=>o7?4;h:7>5<#?=n0<0;6)9:e;14?k12k3907b::9;29 23b2=3o76sm28795?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`86182e7=#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c0:e?7==3:1N01e43-kh64o8;%:`>1=i??:1=l=4$5d:>=3?3`296=4+74g972=i?!12m39<7c9:c;38?l>3290/;8k5369m30e=:21b484?:%56a?503g=>o7=4;n66=?6=,>?n697k;:a6<0=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg4>j3;1?7>50z&6gad2l1e;;>51`78 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3f>>57>5$67f>1?c32wi>4951;694?6|,a79'0c?=0<20e9=50;&41`>32c?j<4?:%56a?2a:21d88750;&41`<31m10qo<68;390?6=8r.>oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:0c4?!2a132>46g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c=1e;8m51:9j<1<72-=>i7=8;o56g?4<3`2>6=4+74g972=i?N0f3<,hi15l94n643>4g>3->m576:8:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a77e=93?1<7>t$4ag>g><@>>h7E;lf:&41`<3k:1/mn46a69'o7>4;h:0>5<#?=n0=0;6)9:e;14?k12k3807d6::18'30c=;>1e;8m53:9l00?=83.<9h4;9e98yg5503;187>50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j20728ki7):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e;;n1=7=50;2x 0ec2k90D::l;I7`b>"0=l0?o>5+ab8:e2=#0j0n7c990;3bg>"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921d88750;&41`<31m10qo==9;390?6=8r.>oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:0cg?!2a132>46g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c="fk33j;6`86182e`=#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c0b=?7=;3:1N01e43-kh64o8;%:`>7c<;6202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>i3=00;6)9:e;6:`>=zj;3n6<4;:183!3dl3i=7E9;c:J6gc=#?4$5d:>=3?3`>86=4+74g9=n:283>5}#=jn1n>5G75a8L0ea3-=>i7:l3:&bg??f?2.3o7i7=8;o56g?6<3`286=4+74g972=i?N0f3<,hi15l94n643>4d53->m576:8:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a6de=9391<7>t$4ag>g5<@>>h7E;lf:&41`<3k:1/mn46a69'b29'0c?=0<20e5<50;&41`<4?2d<9n4?;:k;7?6=,>?n6>94n67`>4=:583>5}#=jn1o;5G75a8L0ea3-=>i7m:;%c`>4?:%56a?>f32c>=7>5$67f>=?<3`>m=7>5$67f>1`532e?944?:%56a?2>l21vn?ok:080>5<7s-?hh7l<;I57g>N2ko1/;8k54b18 de=1h=0(5m52d9m336=9k?0(9h6:97;?l>5290/;8k5369m30e=821b4>4?:%56a?503g=>o7?4;n66=?6=,>?n697k;:a6d4=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg4fm3;1?7>50z&6gad2;o0b:8?:0`4?!2a132>46g72;29 23b2:=0b:;l:198m=5=83.<9h4<7:l41f<632e?944?:%56a?2>l21vn?o<:087>5<7s-?hh7m9;I57g>N2ko1/;8k5c49'ef<>i>1e;;>51c:8 1`>21?37d:<:18'30c=0h10e8?50;&41`6<729q/9nj5b29K31e<@"fk33j;6*7c;0f?k1183;i56*;f88;1==n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9l00?=83.<9h4;9e98yg4f<3;187>50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j20728hj7):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e:k:1=7=50;2x 0ec2k90D::l;I7`b>"0=l0?o>5+ab8:e2=#0j09i6`86182fg=#o?;3:1(:;j:258j23d2810c9;6:18'30c=<0n07pl=a482>1<729q/9nj5c79K31e<@"3n003955f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb3`2>4<4290;w);ld;`0?M13k2B>ok5+74g90f5<,hi15l94$9a96`=i??:1=oj4$5d:>=3?3`296=4+74g972=i?!12m39<7c9:c;38?j2213:1(:;j:5;g?>{e:h<1=7:50;2x 0ec2j<0D::l;I7`b>"0=l0h96*nc;;b3>h0>90:nh5+4g;9<0>=n=80;6)9:e;::?>o3n80;6)9:e;6e6>=h<<31<7*85d87=a=7?53;294~"2km0i?6F84b9K1f`<,>?n69m<;%c`>4da3->m576:8:k;6?6=,>?n6>94n67`>5=h0=j0:76a;5883>!12m3>2h65rb3c4>4<3290;w);ld;a5?M13k2B>ok5+74g9g0=#ij02m:5a77295f6<,=l265;7;h60>5<#?5<#?o7>4;h:0>5<#?=h<<31<7*85d87=a=?n6n;4$`a9=d1<;6202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi>lo51;194?6|,i>1/4n4=e:l425<6k:1/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54o57:>5<#?i76n;:k65?6=,>?n6574;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f7`628086=4?{%7``?d43A=?o6F:cg9'30c=51b78 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3f>>57>5$67f>1?c32wi>h851;694?6|,c79'0c?=0<20e9=50;&41`>32c?j<4?:%56a?2a:21d88750;&41`<31m10qooi4m3:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:3g8j20728i<7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:m71<<72-=>i7:6d:9~f7c?280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=9j20(9h6:97;?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd5n=0:6>4?:1y'1fb=j:1C;9m4H4ae?!12m3>h?6*nc;;b3>"?k38n7c990;3`=>"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921d88750;&41`<31m10qooi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:0ab?!2a132>46g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c=h0>90:oo5+4g;9<0>h0=j0;76g73;29 23b2:=0b:;l:098k13>290/;8k548f8?xd5mh0:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k1183;ho6*;f88;1==n<:0;6)9:e;:b?>o293:1(:;j:9;8?l2a93:1(:;j:5d1?>i3=00;6)9:e;6:`>=zj;l=6<4<:183!3dl3h87E9;c:J6gc=#?h5a77295fb<,=l265;7;h:1>5<#?=n0:0;6)9:e;14?k12k3;07b::9;29 23b2=3o76sm2d`95?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`86182g`=#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c0e3?7=;3:1N01e43-kh64o8;%:`>7c<;6202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>i3=00;6)9:e;6:`>=zj;oh6<4;:183!3dl3i=7E9;c:J6gc=#?4$5d:>=3?3`>86=4+74g9=n:283>5}#=jn1n>5G75a8L0ea3-=>i7:l3:&bg??f?2.3o7i7=8;o56g?6<3`286=4+74g972=i?N0f3<,hi15l94n643>4b53->m576:8:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a6c?=9391<7>t$4ag>g5<@>>h7E;lf:&41`<3k:1/mn46a69'd29'0c?=0<20e5<50;&41`<4?2d<9n4?;:k;7?6=,>?n6>94n67`>4=:583>5}#=jn1o;5G75a8L0ea3-=>i7m:;%c`>4?:%56a?>f32c>=7>5$67f>=?<3`>m=7>5$67f>1`532e?944?:%56a?2>l21vn?hn:080>5<7s-?hh7l<;I57g>N2ko1/;8k54b18 de=1h=0(5m52d9m336=9m?0(9h6:97;?l>5290/;8k5369m30e=821b4>4?:%56a?503g=>o7?4;n66=?6=,>?n697k;:a6``=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg4aj3;1?7>50z&6gad2;o0b:8?:0f4?!2a132>46g72;29 23b2:=0b:;l:198m=5=83.<9h4<7:l41f<632e?944?:%56a?2>l21vn?h?:087>5<7s-?hh7m9;I57g>N2ko1/;8k5c49'ef<>i>1e;;>51e:8 1`>21?37d:<:18'30c=0h10e8?50;&41`6<729q/9nj5b29K31e<@"fk33j;6*7c;0f?k1183;o56*;f88;1==n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9l00?=83.<9h4;9e98yg4b?3;187>50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j20728nj7):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e;8i1=7=50;2x 0ec2k90D::l;I7`b>"0=l0?o>5+ab8:e2=#0j09i6`86182`g=#o?;3:1(:;j:258j23d2810c9;6:18'30c=<0n07pl<1082>1<729q/9nj5c79K31e<@"3n003955f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb23f>4<4290;w);ld;`0?M13k2B>ok5+74g90f5<,hi15l94$9a96`=i??:1=ij4$5d:>=3?3`296=4+74g972=i?!12m39<7c9:c;38?j2213:1(:;j:5;g?>{e;891=7:50;2x 0ec2j<0D::l;I7`b>"0=l0h96*nc;;b3>h0>90:hh5+4g;9<0>=n=80;6)9:e;::?>o3n80;6)9:e;6e6>=h<<31<7*85d87=a=?n69m<;%c`>4ba3->m576:8:k;6?6=,>?n6>94n67`>5=h0=j0:76a;5883>!12m3>2h65rb237>4<3290;w);ld;a5?M13k2B>ok5+74g9g0=#ij02m:5a77295`6<,=l265;7;h60>5<#?5<#?o7>4;h:0>5<#?=h<<31<7*85d87=a=?n6n;4$`a9=d1<;6202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi???51;194?6|,i>1/4n4=e:l425<6m:1/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54o57:>5<#?i76n;:k65?6=,>?n6574;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f64528086=4?{%7``?d43A=?o6F:cg9'30c=51d78 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3f>>57>5$67f>1?c32wi?<951;694?6|,e79'0c?=0<20e9=50;&41`>32c?j<4?:%56a?2a:21d88750;&41`<31m10qo==3;397?6=8r.>oi4m3:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:3g8j20728o<7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:m71<<72-=>i7:6d:9~f67?280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=9l20(9h6:97;?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd4:=0:6>4?:1y'1fb=j:1C;9m4H4ae?!12m3>h?6*nc;;b3>"?k38n7c990;3f=>"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921d88750;&41`<31m10qo=>9;390?6=8r.>oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:0gb?!2a132>46g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c=h0>90:io5+4g;9<0>h0=j0;76g73;29 23b2:=0b:;l:098k13>290/;8k548f8?xd49h0:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k1183;no6*;f88;1==n<:0;6)9:e;:b?>o293:1(:;j:9;8?l2a93:1(:;j:5d1?>i3=00;6)9:e;6:`>=zj:8=6<4<:183!3dl3h87E9;c:J6gc=#?h5a77295`b<,=l265;7;h:1>5<#?=n0:0;6)9:e;14?k12k3;07b::9;29 23b2=3o76sm30`95?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`86182a`=#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c12`?7=;3:1N01e43-kh64o8;%:`>7c<;6202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>i3=00;6)9:e;6:`>=zj:;96<4;:183!3dl3i=7E9;c:J6gc=#?4$5d:>=3?3`>86=4+74g9=n:283>5}#=jn1n>5G75a8L0ea3-=>i7:l3:&bg??f?2.3o7i7=8;o56g?6<3`286=4+74g972=i?N0f3<,hi15l94n643>4`53->m576:8:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a6f7=9391<7>t$4ag>g5<@>>h7E;lf:&41`<3k:1/mn46a69'f29'0c?=0<20e5<50;&41`<4?2d<9n4?;:k;7?6=,>?n6>94n67`>4=:583>5}#=jn1o;5G75a8L0ea3-=>i7m:;%c`>4?:%56a?>f32c>=7>5$67f>=?<3`>m=7>5$67f>1`532e?944?:%56a?2>l21vn?m=:080>5<7s-?hh7l<;I57g>N2ko1/;8k54b18 de=1h=0(5m52d9m336=9o?0(9h6:97;?l>5290/;8k5369m30e=821b4>4?:%56a?503g=>o7?4;n66=?6=,>?n697k;:a6g1=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg4d;3;1?7>50z&6gad2;o0b:8?:0d4?!2a132>46g72;29 23b2:=0b:;l:198m=5=83.<9h4<7:l41f<632e?944?:%56a?2>l21vn?l7:087>5<7s-?hh7m9;I57g>N2ko1/;8k5c49'ef<>i>1e;;>51g:8 1`>21?37d:<:18'30c=0h10e8?50;&41`6<729q/9nj5b29K31e<@"fk33j;6*7c;0f?k1183;m56*;f88;1==n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9l00?=83.<9h4;9e98yg4e13;187>50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j20728lj7):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e:j?1=7=50;2x 0ec2k90D::l;I7`b>"0=l0?o>5+ab8:e2=#0j09i6`86182bg=#o?;3:1(:;j:258j23d2810c9;6:18'30c=<0n07pl=b`82>1<729q/9nj5c79K31e<@"3n003955f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb3a5>4<4290;w);ld;`0?M13k2B>ok5+74g90f5<,hi15l94$9a96`=i??:1=kj4$5d:>=3?3`296=4+74g972=i?!12m39<7c9:c;38?j2213:1(:;j:5;g?>{e:kh1=7:50;2x 0ec2j<0D::l;I7`b>"0=l0h96*nc;;b3>h0>90:jh5+4g;9<0>=n=80;6)9:e;::?>o3n80;6)9:e;6e6>=h<<31<7*85d87=a=?n69m<;%c`>4`a3->m576:8:k;6?6=,>?n6>94n67`>5=h0=j0:76a;5883>!12m3>2h65rb3``>4<3290;w);ld;a5?M13k2B>ok5+74g9g0=#ij02m:5a7729656<,=l265;7;h60>5<#?5<#?o7>4;h:0>5<#?=h<<31<7*85d87=a=?n6n;4$`a9=d1<;6?>=;%6e=?>202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi>n751;194?6|,i>1/4n4=e:l425<58:1/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54o57:>5<#?i76n;:k65?6=,>?n6574;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f7e728086=4?{%7``?d43A=?o6F:cg9'30c=52178 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3f>>57>5$67f>1?c32wi>o;51;694?6|,>32c?j<4?:%56a?2a:21d88750;&41`<31m10qo?i8;397?6=8r.>oi4m3:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:3g8j2072;:<7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:m71<<72-=>i7:6d:9~f4cc280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=:920(9h6:97;?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd6n00:6>4?:1y'1fb=j:1C;9m4H4ae?!12m3>h?6*nc;;b3>"?k38n7c990;03=>"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921d88750;&41`<31m10qo?jf;390?6=8r.>oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:32b?!2a132>46g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c=h0>909h0=j0;76g73;29 23b2:=0b:;l:098k13>290/;8k548f8?xd6n90:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k11838;o6*;f88;1==n<:0;6)9:e;:b?>o293:1(:;j:9;8?l2a93:1(:;j:5d1?>i3=00;6)9:e;6:`>=zj8li6<4<:183!3dl3h87E9;c:J6gc=#?h5a772965b<,=l265;7;h:1>5<#?=n0:0;6)9:e;14?k12k3;07b::9;29 23b2=3o76sm1g395?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`861814`=#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c3eg?7=;3:1N01e43-kh64o8;%:`>7c<;6?>i;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>i3=00;6)9:e;6:`>=zj8l96<4;:183!3dl3i=7E9;c:J6gc=#?<>4$5d:>=3?3`>86=4+74g9=n4>:583>5}#=jn1o;5G75a8L0ea3-=>i7m:;%c`>1:&7b<4?:%56a?>f32c>=7>5$67f>=?<3`>m=7>5$67f>1`532e?944?:%56a?2>l21vn5<7s-?hh7m9;I57g>N2ko1/;8k5c49'ef<>i>1e;;>52008 1`>21?37d:<:18'30c=0h10e8?50;&41`f482>1<729q/9nj5c79K31e<@"3n003955f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb323>4<4290;w);ld;`0?M13k2B>ok5+74g90f5<,hi15l94$9a96`=i??:1><:4$5d:>=3?3`296=4+74g972=i?!12m39<7c9:c;38?j2213:1(:;j:5;g?>{e9o<1=7:50;2x 0ec2j<0D::l;I7`b>"0=l0h96*nc;;b3>h0>909=85+4g;9<0>=n=80;6)9:e;::?>o3n80;6)9:e;6e6>=h<<31<7*85d87=a=?n69m<;%c`>7713->m576:8:k;6?6=,>?n6>94n67`>5=h0=j0:76a;5883>!12m3>2h65rb0d4>4<3290;w);ld;a5?M13k2B>ok5+74g9g0=#ij02m:5a7729641<,=l265;7;h60>5<#?5<#?i76n;:k65?6=,>?n6574;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f72d28086=4?{%7``?d43A=?o6F:cg9'30c=520;8 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3f>>57>5$67f>1?c32wi>9?51;694?6|,>32c?j<4?:%56a?2a:21d88750;&41`<31m10qo<;d;397?6=8r.>oi4m3:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:3g8j2072;;i7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:m71<<72-=>i7:6d:9~f724280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=:8i0(9h6:97;?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd54?:1y'1fb=j:1C;9m4H4ae?!12m3>h?6*nc;;b3>"?k38n7c990;02`>"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921d88750;&41`<31m10qo<;4;390?6=8r.>oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:33f?!2a132>46g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c=h0>909=k5+4g;9<0>h0=j0;76g73;29 23b2:=0b:;l:098k13>290/;8k548f8?xd5<<0:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k118389<6*;f88;1==n<:0;6)9:e;:b?>o293:1(:;j:9;8?l2a93:1(:;j:5d1?>i3=00;6)9:e;6:`>=zj;?;6<4<:183!3dl3h87E9;c:J6gc=#?h5a7729677<,=l265;7;h:1>5<#?=n0:0;6)9:e;14?k12k3;07b::9;29 23b2=3o76sm25495?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`8618167=#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c073?7=<3:1N0f3<,hi15l94n643>7443->m576:8:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a61>=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg4313;187>50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j2072;8>7):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e:<>1=7=50;2x 0ec2k90D::l;I7`b>"0=l0?o>5+ab8:e2=#0j09i6`8618163=#o?;3:1(:;j:258j23d2810c9;6:18'30c=<0n07pl=4`82>1<729q/9nj5c79K31e<@"3n003955f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb36a>4<3290;w);ld;a5?M13k2B>ok5+74g9g0=#ij02m:5a772967><,=l265;7;h60>5<#?5<#?i76n;:k65?6=,>?n6574;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f76c28086=4?{%7``?d43A=?o6F:cg9'30c=523c8 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3f>>57>5$67f>1?c32wi>=<51;694?6|,>32c?j<4?:%56a?2a:21d88750;&41`<31m10qooi4m3:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:3g8j2072;8h7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:m71<<72-=>i7:6d:9~f763280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=:;n0(9h6:97;?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd58o0:6>4?:1y'1fb=j:1C;9m4H4ae?!12m3>h?6*nc;;b3>"?k38n7c990;01a>"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921d88750;&41`<31m10qooi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:30e?!2a132>46g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c=h0>909?=5+4g;9<0>h0=j0;76g73;29 23b2:=0b:;l:098k13>290/;8k548f8?xd58?0:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k118388=6*;f88;1==n<:0;6)9:e;:b?>o293:1(:;j:9;8?l2a93:1(:;j:5d1?>i3=00;6)9:e;6:`>=zj;;:6<4<:183!3dl3h87E9;c:J6gc=#?h5a7729664<,=l265;7;h:1>5<#?=n0:0;6)9:e;14?k12k3;07b::9;29 23b2=3o76sm21595?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`8618176=#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c03N0f3<,hi15l94n643>7533->m576:8:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a65?=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg47i3;187>50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j2072;9=7):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e:8?1=7=50;2x 0ec2k90D::l;I7`b>"0=l0?o>5+ab8:e2=#0j09i6`8618172=#o?;3:1(:;j:258j23d2810c9;6:18'30c=<0n07pl=0c82>1<729q/9nj5c79K31e<@"3n003955f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb335>4<4290;w);ld;`0?M13k2B>ok5+74g90f5<,hi15l94$9a96`=i??:1>>74$5d:>=3?3`296=4+74g972=i?!12m39<7c9:c;38?j2213:1(:;j:5;g?>{e:9i1=7:50;2x 0ec2j<0D::l;I7`b>"0=l0h96*nc;;b3>h0>909?l5+4g;9<0>=n=80;6)9:e;::?>o3n80;6)9:e;6e6>=h<<31<7*85d87=a=?n6n;4$`a9=d1<;6?=m;%6e=?>202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi>;?51;194?6|,i>1/4n4=e:l425<5;j1/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54o57:>5<#?i76n;:k65?6=,>?n6574;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f70528086=4?{%7``?d43A=?o6F:cg9'30c=522g8 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3f>>57>5$67f>1?c32wi>8651;694?6|,>32c?j<4?:%56a?2a:21d88750;&41`<31m10qo<93;397?6=8r.>oi4m3:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:3g8j2072;>;7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:m71<<72-=>i7:6d:9~f73>280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=:=;0(9h6:97;?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd5>=0:6>4?:1y'1fb=j:1C;9m4H4ae?!12m3>h?6*nc;;b3>"?k38n7c990;076>"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921d88750;&41`<31m10qo<:a;390?6=8r.>oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:360?!2a132>46g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c=h0>909895+4g;9<0>h0=j0;76g73;29 23b2:=0b:;l:098k13>290/;8k548f8?xd5=k0:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k11838?96*;f88;1==n<:0;6)9:e;:b?>o293:1(:;j:9;8?l2a93:1(:;j:5d1?>i3=00;6)9:e;6:`>=zj;?h6<4;:183!3dl3i=7E9;c:J6gc=#?984$5d:>=3?3`>86=4+74g9=n:583>5}#=jn1o;5G75a8L0ea3-=>i7m:;%c`>4?:%56a?>f32c>=7>5$67f>=?<3`>m=7>5$67f>1`532e?944?:%56a?2>l21vn?;j:087>5<7s-?hh7m9;I57g>N2ko1/;8k5c49'ef<>i>1e;;>525:8 1`>21?37d:<:18'30c=0h10e8?50;&41`6<729q/9nj5b29K31e<@"fk33j;6*7c;0f?k11838?56*;f88;1==n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9l00?=83.<9h4;9e98yg42n3;187>50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j2072;>j7):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e:?:1=7:50;2x 0ec2j<0D::l;I7`b>"0=l0h96*nc;;b3>h0>9098o5+4g;9<0>=n=80;6)9:e;::?>o3n80;6)9:e;6e6>=h<<31<7*85d87=a=;7?54;294~"2km0h:6F84b9K1f`<,>?n6n;4$`a9=d1<;6?:l;%6e=?>202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi>?<51;194?6|,i>1/4n4=e:l425<55$67f>61?h6<54o57:>5<#?i76n;:k65?6=,>?n6574;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f74428086=4?{%7``?d43A=?o6F:cg9'30c=525d8 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3f>>57>5$67f>1?c32wi><751;694?6|,>32c?j<4?:%56a?2a:21d88750;&41`<31m10qo<=4;397?6=8r.>oi4m3:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:3g8j2072;?:7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:m71<<72-=>i7:6d:9~f77f280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=:<80(9h6:97;?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd5:<0:6>4?:1y'1fb=j:1C;9m4H4ae?!12m3>h?6*nc;;b3>"?k38n7c990;067>"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921d88750;&41`<31m10qo<>b;390?6=8r.>oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:377?!2a132>46g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c=h0>909985+4g;9<0>h0=j0;76g73;29 23b2:=0b:;l:098k13>290/;8k548f8?xd59j0:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k11838>:6*;f88;1==n<:0;6)9:e;:b?>o293:1(:;j:9;8?l2a93:1(:;j:5d1?>i3=00;6)9:e;6:`>=zj;8<6<4<:183!3dl3h87E9;c:J6gc=#?h5a7729601<,=l265;7;h:1>5<#?=n0:0;6)9:e;14?k12k3;07b::9;29 23b2=3o76sm20f95?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`861811==#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c01N01e43-kh64o8;%:`>7c<;6?;6;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>i3=00;6)9:e;6:`>=zj;;n6<4;:183!3dl3i=7E9;c:J6gc=#?8o4$5d:>=3?3`>86=4+74g9=n44>:283>5}#=jn1n>5G75a8L0ea3-=>i7:l3:&bg??f?2.3o7i7=8;o56g?6<3`286=4+74g972=i?N0f3<,hi15l94n643>73d3->m576:8:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a67g=9391<7>t$4ag>g5<@>>h7E;lf:&41`<3k:1/mn46a69'?n6>94n67`>4==4>:583>5}#=jn1o;5G75a8L0ea3-=>i7m:;%c`>4?:%56a?>f32c>=7>5$67f>=?<3`>m=7>5$67f>1`532e?944?:%56a?2>l21vn?5<7s-?hh7l<;I57g>N2ko1/;8k54b18 de=1h=0(5m52d9m336=:5290/;8k5369m30e=821b4>4?:%56a?503g=>o7?4;n66=?6=,>?n697k;:a677=93>1<7>t$4ag>f0<@>>h7E;lf:&41`91/8k7584:8m15=83.<9h47a:9j14<72-=>i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg4603;187>50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j2072;<:7):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e:><1=7=50;2x 0ec2k90D::l;I7`b>"0=l0?o>5+ab8:e2=#0j09i6`8618127=#o?;3:1(:;j:258j23d2810c9;6:18'30c=<0n07pl=6c82>1<729q/9nj5c79K31e<@"3n003955f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb354>4<4290;w);ld;`0?M13k2B>ok5+74g90f5<,hi15l94$9a96`=i??:1>;:4$5d:>=3?3`296=4+74g972=i?!12m39<7c9:c;38?j2213:1(:;j:5;g?>{e:?n1=7:50;2x 0ec2j<0D::l;I7`b>"0=l0h96*nc;;b3>h0>909:85+4g;9<0>=n=80;6)9:e;::?>o3n80;6)9:e;6e6>=h<<31<7*85d87=a=?n69m<;%c`>7013->m576:8:k;6?6=,>?n6>94n67`>5=h0=j0:76a;5883>!12m3>2h65rb34f>4<3290;w);ld;a5?M13k2B>ok5+74g9g0=#ij02m:5a7729631<,=l265;7;h60>5<#?5<#?o7>4;h:0>5<#?=h<<31<7*85d87=a=?n6n;4$`a9=d1<;6?86;%6e=?>202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi>:o51;194?6|,i>1/4n4=e:l425<5>h1/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54o57:>5<#?i76n;:k65?6=,>?n6574;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f71e28086=4?{%7``?d43A=?o6F:cg9'30c=527a8 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3f>>57>5$67f>1?c32wi>:?51;694?6|,>32c?j<4?:%56a?2a:21d88750;&41`<31m10qo<8c;397?6=8r.>oi4m3:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:3g8j2072;o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:m71<<72-=>i7:6d:9~f715280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=:?l0(9h6:97;?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd5?m0:6>4?:1y'1fb=j:1C;9m4H4ae?!12m3>h?6*nc;;b3>"?k38n7c990;044>"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921d88750;&41`<31m10qo<83;390?6=8r.>oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:352?!2a132>46g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c=h0>909;?5+4g;9<0>h0=j0;76g73;29 23b2:=0b:;l:098k13>290/;8k548f8?xd5?=0:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k11838o293:1(:;j:9;8?l2a93:1(:;j:5d1?>i3=00;6)9:e;6:`>=zj;=m6<4<:183!3dl3h87E9;c:J6gc=#?h5a7729622<,=l265;7;h:1>5<#?=n0:0;6)9:e;14?k12k3;07b::9;29 23b2=3o76sm26795?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`8618130=#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c05g?7=<3:1N0f3<,hi15l94n643>7113->m576:8:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a661=9391<7>t$4ag>g5<@>>h7E;lf:&41`<3k:1/mn46a69'?n6>94n67`>4=n4>:583>5}#=jn1o;5G75a8L0ea3-=>i7m:;%c`>4?:%56a?>f32c>=7>5$67f>=?<3`>m=7>5$67f>1`532e?944?:%56a?2>l21vn?=7:080>5<7s-?hh7l<;I57g>N2ko1/;8k54b18 de=1h=0(5m52d9m336=:>30(9h6:97;?l>5290/;8k5369m30e=821b4>4?:%56a?503g=>o7?4;n66=?6=,>?n697k;:a67c=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg4413;1?7>50z&6gad2;o0b:8?:35a?!2a132>46g72;29 23b2:=0b:;l:198m=5=83.<9h4<7:l41f<632e?944?:%56a?2>l21vn?5<7s-?hh7m9;I57g>N2ko1/;8k5c49'ef<>i>1e;;>526a8 1`>21?37d:<:18'30c=0h10e8?50;&41`6<729q/9nj5b29K31e<@"fk33j;6*7c;0f?k118381e;8m51:9l00?=83.<9h4;9e98yg4483;187>50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j2072;=n7):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e::h1=7=50;2x 0ec2k90D::l;I7`b>"0=l0?o>5+ab8:e2=#0j09i6`861813c=#o?;3:1(:;j:258j23d2810c9;6:18'30c=<0n07pl=3082>1<729q/9nj5c79K31e<@"3n003955f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb31`>4<4290;w);ld;`0?M13k2B>ok5+74g90f5<,hi15l94$9a96`=i??:1>5?4$5d:>=3?3`296=4+74g972=i?!12m39<7c9:c;38?j2213:1(:;j:5;g?>{e::81=7:50;2x 0ec2j<0D::l;I7`b>"0=l0h96*nc;;b3>h0>9094?5+4g;9<0>=n=80;6)9:e;::?>o3n80;6)9:e;6e6>=h<<31<7*85d87=a=?n69m<;%c`>7>43->m576:8:k;6?6=,>?n6>94n67`>5=h0=j0:76a;5883>!12m3>2h65rb310>4<3290;w);ld;a5?M13k2B>ok5+74g9g0=#ij02m:5a77296=2<,=l265;7;h60>5<#?5<#?o7>4;h:0>5<#?=h<<31<7*85d87=a=?n6n;4$`a9=d1<;6?69;%6e=?>202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi>>h51;194?6|,i>1/4n4=e:l425<50>1/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54o57:>5<#?i76n;:k65?6=,>?n6574;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f72728086=4?{%7``?d43A=?o6F:cg9'30c=529;8 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3f>>57>5$67f>1?c32wi>>851;694?6|,>32c?j<4?:%56a?2a:21d88750;&41`<31m10qo<=d;390?6=8r.>oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:3:a?!2a132>46g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c=h0>9094n5+4g;9<0>h0=j0;76g73;29 23b2:=0b:;l:098k13>290/;8k548f8?xd5090:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k118383h6*;f88;1==n<:0;6)9:e;:b?>o293:1(:;j:9;8?l2a93:1(:;j:5d1?>i3=00;6)9:e;6:`>=zj;2h6<4<:183!3dl3h87E9;c:J6gc=#?h5a77296=c<,=l265;7;h:1>5<#?=n0:0;6)9:e;14?k12k3;07b::9;29 23b2=3o76sm29095?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`86181!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c0;`?7=;3:1N01e43-kh64o8;%:`>7c<;6?7?;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>i3=00;6)9:e;6:`>=zj;286<4;:183!3dl3i=7E9;c:J6gc=#?4?4$5d:>=3?3`>86=4+74g9=n:283>5}#=jn1n>5G75a8L0ea3-=>i7:l3:&bg??f?2.3o7:2.?j447599j<7<72-=>i7=8;o56g?6<3`286=4+74g972=i?N0f3<,hi15l94n643>7?43->m576:8:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a6=`=9391<7>t$4ag>g5<@>>h7E;lf:&41`<3k:1/mn46a69'?n6>94n67`>4=:583>5}#=jn1o;5G75a8L0ea3-=>i7m:;%c`>4?:%56a?>f32c>=7>5$67f>=?<3`>m=7>5$67f>1`532e?944?:%56a?2>l21vn?7?:080>5<7s-?hh7l<;I57g>N2ko1/;8k54b18 de=1h=0(5m52d9m336=:0<0(9h6:97;?l>5290/;8k5369m30e=821b4>4?:%56a?503g=>o7?4;n66=?6=,>?n697k;:a6=0=93>1<7>t$4ag>f0<@>>h7E;lf:&41`1/8k7584:8m15=83.<9h47a:9j14<72-=>i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg4>93;1?7>50z&6gad2;o0b:8?:3;;?!2a132>46g72;29 23b2:=0b:;l:198m=5=83.<9h4<7:l41f<632e?944?:%56a?2>l21vn?68:087>5<7s-?hh7m9;I57g>N2ko1/;8k5c49'ef<>i>1e;;>528;8 1`>21?37d:<:18'30c=0h10e8?50;&41`6<729q/9nj5b29K31e<@"fk33j;6*7c;0f?k118382m6*;f88;1==n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9l00?=83.<9h4;9e98yg4?03;187>50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j2072;3i7):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e:091=7=50;2x 0ec2k90D::l;I7`b>"0=l0?o>5+ab8:e2=#0j09i6`86181=f=#o?;3:1(:;j:258j23d2810c9;6:18'30c=<0n07pl=8882>1<729q/9nj5c79K31e<@"3n003955f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb3;7>4<4290;w);ld;`0?M13k2B>ok5+74g90f5<,hi15l94$9a96`=i??:1>4k4$5d:>=3?3`296=4+74g972=i?!12m39<7c9:c;38?j2213:1(:;j:5;g?>{e:1k1=7:50;2x 0ec2j<0D::l;I7`b>"0=l0h96*nc;;b3>h0>9095k5+4g;9<0>=n=80;6)9:e;::?>o3n80;6)9:e;6e6>=h<<31<7*85d87=a=?n6n;4$`a9=d1<;6?o?;%6e=?>202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi=?o51;694?6|,>32c?j<4?:%56a?2a:21d88750;&41`<31m10qo?oi484c9K31e<@"fk33j;6`86181e7=#!12m32376a;5883>!12m3>2h65rb00a>4<3290;w);ld;a5?M13k2B>ok5+74g9g0=#ij02m:5a77296d5<,=l265;7;h60>5<#?5<#?>h7E;lf:&41`<0

0(9h6:97;?l24290/;8k58998mdd=83.<9h478:9l00?=83.<9h4;9e98yg75k3;187>50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j2072;k>7):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e9=81=7=50;2x 0ec2>>i7E9;c:J6gc=#?<;6?o9;%6e=?>202c??7>5$67f>=><3`ki6=4+74g9<==i4>:583>5}#=jn1o;5G75a8L0ea3-=>i7m:;%c`>4?:%56a?>f32c>=7>5$67f>=?<3`>m=7>5$67f>1`532e?944?:%56a?2>l21vn<:<:080>5<7s-?hh79;b:J40f=O=jl0(:;j:66b?!gd20k<7c990;0b<>"3n003955f4283>!12m32376gnb;29 23b21207b::9;29 23b2=3o76sm13g95?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`86181e<=#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c370?7=;3:1i767;:m71<<72-=>i7:6d:9~f44a280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=:hh0(9h6:97;?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd6<<0:6>4?:1y'1fb=?=h0D::l;I7`b>"0=l0<8l5+ab8:e2=i??:1>lm4$5d:>=3?3`>86=4+74g9<===h<<31<7*85d87=a=?n6n;4$`a9=d1<;6?ok;%6e=?>202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi=9851;194?6|,N2ko1/;8k575c8 de=1h=0b:8?:3cf?!2a132>46g;3;29 23b21207dom:18'30c=0110c9;6:18'30c=<0n07pl>3082>1<729q/9nj5c79K31e<@"3n003955f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb064>4<4290;w);ld;57f>N022f3-kh64o8;o554?4e82.?j447599j06<72-=>i767;:kbf?6=,>?n6564;n66=?6=,>?n697k;:a564=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg7303;1?7>50z&6ga<0h0>909n?5+4g;9<0>=nik0;6)9:e;:;?>i3=00;6)9:e;6:`>=zj8986<4;:183!3dl3i=7E9;c:J6gc=#?o=4$5d:>=3?3`>86=4+74g9=n:283>5}#=jn1;9l4H66`?M3dn2.<9h484`9'ef<>i>1e;;>52c68 1`>21?37d:<:18'30c=0110ell50;&41`oi484c9K31e<@"fk33j;6`86181f0=#!12m32376a;5883>!12m3>2h65rb02b>4<3290;w);ld;a5?M13k2B>ok5+74g9g0=#ij02m:5a77296g0<,=l265;7;h60>5<#?5<#?>h7E;lf:&41`<0

50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j2072;h37):i9;:6<>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e9;;1=7=50;2x 0ec2>>i7E9;c:J6gc=#?<;6?l6;%6e=?>202c??7>5$67f>=><3`ki6=4+74g9<==:583>5}#=jn1o;5G75a8L0ea3-=>i7m:;%c`>4?:%56a?>f32c>=7>5$67f>=?<3`>m=7>5$67f>1`532e?944?:%56a?2>l21vn<<=:080>5<7s-?hh79;b:J40f=O=jl0(:;j:66b?!gd20k<7c990;0af>"3n003955f4283>!12m32376gnb;29 23b21207b::9;29 23b2=3o76sm11f95?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`86181ff=#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c317?7=;3:1i767;:m71<<72-=>i7:6d:9~f46b280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=:ko0(9h6:97;?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd6:=0:6>4?:1y'1fb=?=h0D::l;I7`b>"0=l0<8l5+ab8:e2=i??:1>oh4$5d:>=3?3`>86=4+74g9<===h<<31<7*85d87=a=?n6n;4$`a9=d1<;6?m?;%6e=?>202c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi=?;51;194?6|,N2ko1/;8k575c8 de=1h=0b:8?:3a2?!2a132>46g;3;29 23b21207dom:18'30c=0110c9;6:18'30c=<0n07pl>1182>1<729q/9nj5c79K31e<@"3n003955f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb005>4<4290;w);ld;57f>N022f3-kh64o8;o554?4d;2.?j447599j06<72-=>i767;:kbf?6=,>?n6564;n66=?6=,>?n697k;:a547=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg75?3;1?7>50z&6ga<0h0>909o85+4g;9<0>=nik0;6)9:e;:;?>i3=00;6)9:e;6:`>=zj8;96<4;:183!3dl3i=7E9;c:J6gc=#?n84$5d:>=3?3`>86=4+74g9=n54>:283>5}#=jn1;9l4H66`?M3dn2.<9h484`9'ef<>i>1e;;>52b58 1`>21?37d:<:18'30c=0110ell50;&41`3;390?6=8r.>oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:3a;?!2a132>46g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c=ok5+74g931g<,hi15l94n643>7e>3->m576:8:k77?6=,>?n6564;hca>5<#?5<#?>h7E;lf:&41`<0

683;1:7>50z&6ga<>02B<8n5G5bd8 =e=;2.<9h467:&bg??f?2d<:=4=cc9'0c?=0<20e9h50;&41`l21b;44?:%56a?2532c?mn4?:%56a?2a;21b8l4?:%56a?2d<21vn8=;:087>5<7s-?hh7m9;I57g>N2ko1/;8k5c49'ef<>i>1e;;>52ba8 1`>2=lm7d:<:18'30c=0h10e8?50;&41`6<729q/9nj5b29K31e<@"fk33j;6*7c;g8j2072;io7):i9;6eb>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:m71<<72-=>i7:6d:9~f05b280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=:jo0(9h6:5de?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd2<>0:684?:1y'1fb=j11C;9m4H4ae?!12m3>h?6*nc;;b3>"?k3>0b:8?:3ae?!2a13>mj6g72;29 23b2:=0b:;l:198m=5=83.<9h4<7:l41f<632c387>5$67f>61?h6?54i9794?"0=l08;6`85b80?>i3=00;6)9:e;6:`>=zj<9o6<4;:183!3dl3i=7E9;c:J6gc=#?i>4$5d:>1`a3`>86=4+74g9=n8;4>:483>5}#=jn1n55G75a8L0ea3-=>i7:l3:&bg??f?2.3o7:4n643>7b63->m57:if:k;6?6=,>?n6>94n67`>5=h0=j0:76g74;29 23b2:=0b:;l:398m=3=83.<9h4<7:l41f<432e?944?:%56a?2>l21vn8=l:087>5<7s-?hh7m9;I57g>N2ko1/;8k5c49'ef<>i>1e;;>52e08 1`>2=lm7d:<:18'30c=0h10e8?50;&41`6<729q/9nj5b29K31e<@"fk33j;6*7c;0;?k11838o?6*;f887bc=n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9l00?=83.<9h4;9e98yg34j3;187>50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j2072;n?7):i9;6eb>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e==>1=7=50;2x 0ec2k90D::l;I7`b>"0=l0?o>5+ab8:e2=#0j09i6`86181`0=#o?;3:1(:;j:258j23d2810c9;6:18'30c=<0n07pl:3`82>1<729q/9nj5c79K31e<@"3n00?jk5f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb460>4<4290;w);ld;`0?M13k2B>ok5+74g90f5<,hi15l94$9a96`=i??:1>i94$5d:>1`a3`296=4+74g972=i?!12m39<7c9:c;38?j2213:1(:;j:5;g?>{e=:31=7:50;2x 0ec2j<0D::l;I7`b>"0=l0h96*nc;;b3>h0>909h55+4g;90c`=n=80;6)9:e;::?>o3n80;6)9:e;6e6>=h<<31<7*85d87=a=7?53;294~"2km0i?6F84b9K1f`<,>?n69m<;%c`>5<#?=n0:0;6)9:e;14?k12k3;07b::9;29 23b2=3o76sm52:95?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`86181`d=#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c775?7==3:1N01e43-kh64o8;%:`>1=i??:1>il4$5d:>1`a3`296=4+74g972=i?!12m39<7c9:c;38?l>3290/;8k5369m30e=:21b484?:%56a?503g=>o7=4;n66=?6=,>?n697k;:a160=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg34=3;187>50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j2072;no7):i9;6eb>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e==:1=7=50;2x 0ec2k90D::l;I7`b>"0=l0?o>5+ab8:e2=#0j0n7c990;0ga>"3n00?jk5f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921d88750;&41`<31m10qo;<2;390?6=8r.>oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:3fe?!2a13>mj6g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c=ok5+74g931g<,hi15l94n643>7c73->m57:if:k77?6=,>?n6564;hca>5<#?5<#?>h7E;lf:&41`<0

50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j2072;o97):i9;6eb>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e=;=1=7=50;2x 0ec2>>i7E9;c:J6gc=#?<;6?k<;%6e=?2an2c??7>5$67f>=><3`ki6=4+74g9<==

:583>5}#=jn1o;5G75a8L0ea3-=>i7m:;%c`>4?:%56a?>f32c>=7>5$67f>=?<3`>m=7>5$67f>1`532e?944?:%56a?2>l21vn8<9:080>5<7s-?hh79;b:J40f=O=jl0(:;j:66b?!gd20k<7c990;0f1>"3n00?jk5f4283>!12m32376gnb;29 23b21207b::9;29 23b2=3o76sm51f95?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`86181a3=#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c711?7=;3:11/8k754gd8m15=83.<9h478:9jeg<72-=>i767;:m71<<72-=>i7:6d:9~f06d280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=:l20(9h6:5de?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd2::0:6>4?:1y'1fb=?=h0D::l;I7`b>"0=l0<8l5+ab8:e2=i??:1>h74$5d:>1`a3`>86=4+74g9<===h<<31<7*85d87=a=?n6n;4$`a9=d1<;6?kn;%6e=?2an2c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi9?<51;194?6|,N2ko1/;8k575c8 de=1h=0b:8?:3ga?!2a13>mj6g;3;29 23b21207dom:18'30c=0110c9;6:18'30c=<0n07pl:0882>1<729q/9nj5c79K31e<@"3n00?jk5f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb402>4<4290;w);ld;57f>N022f3-kh64o8;o554?4bl2.?j44;fg9j06<72-=>i767;:kbf?6=,>?n6564;n66=?6=,>?n697k;:a15>=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg3583;1?7>50z&6ga<0h0>909ik5+4g;90c`=nik0;6)9:e;:;?>i3=00;6)9:e;6:`>=zj<:<6<4;:183!3dl3i=7E9;c:J6gc=#?k>4$5d:>1`a3`>86=4+74g9=n=k4>:283>5}#=jn1;9l4H66`?M3dn2.<9h484`9'ef<>i>1e;;>52g38 1`>2=lm7d:<:18'30c=0110ell50;&41`oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:3d1?!2a13>mj6g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c=ok5+74g931g<,hi15l94n643>7`43->m57:if:k77?6=,>?n6564;hca>5<#?5<#?i76n;:k65?6=,>?n6574;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f07c28086=4?{%7``?13j2B<8n5G5bd8 23b2>>j7)ol:8c4?k11838m96*;f887bc=n<:0;6)9:e;:;?>ofj3:1(:;j:9:8?j2213:1(:;j:5;g?>{e=9>1=7:50;2x 0ec2j<0D::l;I7`b>"0=l0h96*nc;;b3>h0>909j;5+4g;90c`=n=80;6)9:e;::?>o3n80;6)9:e;6e6>=h<<31<7*85d87=a=i79;a:&bg??f?2d<:=4=f69'0c?=?32e?944?:%56a?2>l21vn8><:087>5<7s-?hh7m9;I57g>N2ko1/;8k5c49'ef<>i>1e;;>52g:8 1`>2=lm7d:<:18'30c=0h10e8?50;&41`6<729q/9nj575`8L22d3A?hj6*85d840d=#ij02m:5a77296c?<,=l269hi;h60>5<#?N0f3<,hi15l94n643>7`f3->m57:if:k77?6=,>?n65o4;h72>5<#?5<#??n697k;:a14g=9391<7>t$4ag>22e3A=?o6F:cg9'30c=?=k0(lm59`58j2072;li7):i9;6eb>o3;3:1(:;j:9:8?lge290/;8k58998k13>290/;8k548f8?xd2880:694?:1y'1fb=k?1C;9m4H4ae?!12m3i>7)ol:8c4?k11838mo6*;f887bc=n<:0;6)9:e;:b?>o293:1(:;j:9;8?l2a93:1(:;j:5d1?>i3=00;6)9:e;6:`>=zj<9:6<4<:183!3dl3=?n6F84b9K1f`<,>?n6::n;%c`>4?:%56a?>?32cjn7>5$67f>=><3f>>57>5$67f>1?c32wi9<951;694?6|,>32c?j<4?:%56a?2a:21d88750;&41`<31m10qo;<0;397?6=8r.>oi484c9K31e<@"fk33j;6`86181bc=#!12m32376a;5883>!12m3>2h65rb435>4<3290;w);ld;a5?M13k2B>ok5+74g9g0=#ij02m:5a7729756<,=l269hi;h60>5<#?5<#?>h7E;lf:&41`<0

50z&6ga2B<8n5G5bd8 23b2j?0(lm59`58j2072::97):i9;6eb>o3;3:1(:;j:9c8?l36290/;8k58898m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e=;o1=7=50;2x 0ec2>>i7E9;c:J6gc=#?<;6>><;%6e=?2an2c??7>5$67f>=><3`ki6=4+74g9<===94>:583>5}#=jn1o;5G75a8L0ea3-=>i7m:;%c`>4?:%56a?>f32c>=7>5$67f>=?<3`>m=7>5$67f>1`532e?944?:%56a?2>l21vn85<7s-?hh79;b:J40f=O=jl0(:;j:66b?!gd20k<7c990;131>"3n00?jk5f4283>!12m32376gnb;29 23b21207b::9;29 23b2=3o76sm50195?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`8618043=#!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c71g?7=;3:11/8k754gd8m15=83.<9h478:9jeg<72-=>i767;:m71<<72-=>i7:6d:9~f075280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=;920(9h6:5de?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd2:k0:6>4?:1y'1fb=?=h0D::l;I7`b>"0=l0<8l5+ab8:e2=i??:1?=74$5d:>1`a3`>86=4+74g9<===h<<31<7*85d87=a=?n6n;4$`a9=d1<;6>>n;%6e=?2an2c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi9?o51;194?6|,N2ko1/;8k575c8 de=1h=0b:8?:22a?!2a13>mj6g;3;29 23b21207dom:18'30c=0110c9;6:18'30c=<0n07pl:1182>1<729q/9nj5c79K31e<@"3n00?jk5f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb407>4<4290;w);ld;57f>N022f3-kh64o8;o554?57l2.?j44;fg9j06<72-=>i767;:kbf?6=,>?n6564;n66=?6=,>?n697k;:a15d=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg3613;1?7>50z&6ga<0h0>908=nik0;6)9:e;:;?>i3=00;6)9:e;6:`>=zj<:;6<4;:183!3dl3i=7E9;c:J6gc=#?4$5d:>1`a3`>86=4+74g9=no84>:983>5}#=jn1:?5G75a8L0ea3-2h6>5+74g90c2<@=h:7)ol:8c4?k11839:=6*;f887bc=n<90;6)9:e;66?>o3:3:1(:;j:508?l2a290/;8k58998m1gb290/;8k54gc8?j2fl3:1(:;j:5;g?>o3n:0;6)9:e;6e7>N2kl10e9m;:18'30c=0D8mj;:k7b4<72-=>i7:ib:9~f01328036=4?{%7``?063A=?o6F:cg9'i7:i4:J7f4=#ij02m:5a7729744<,=l269hi;h63>5<#?5<#?5<#?5<#?o3k=0;6)9:e;6`0>N2kl10e9h>:18'30c==<729q/9nj5609K31e<@0D9l>;%c`>3:&7b<<3no1b8=4?:%56a?2232c?j7>5$67f>=><3`>ji7>5$67f>1`f32e?mi4?:%56a?2>l21b8?4?:%56a?2532c?j>4?:%56a?2a;2B>oh54i5a7>5<#?o3n80;6)9:e;6ef>=zj<=96<47:183!3dl3<:7E9;c:J6gc=#0j087)9:e;6e0>N3j81/mn46a69m336=;8>0(9h6:5de?l27290/;8k54498m1`=83.<9h478:9j0dc=83.<9h4;f`98k1gc290/;8k548f8?l25290/;8k54398m1`4290/;8k54g18L0eb32c?o94?:%56a?2d<2B>oh54i5d2>5<#?6=#?"3n00?jk5f4183>!12m3>>76g;f;29 23b21207d:ne;29 23b2=lj76a;ae83>!12m3>2h65f4383>!12m3>976g;f283>!12m3>m?6F:cd98m1e3290/;8k54b68L0eb32c?j<4?:%56a?2aj21vn89?:08;>5<7s-?hh78>;I57g>N2ko1/4n4<;%56a?2a<2B?n<5+ab8:e2=i??:1?<84$5d:>1`a3`>;6=4+74g900==n96=4+74g907=!12m3>h86F:cd98m1`6290/;8k54g`8?xd2>l0:654?:1y'1fb=>81C;9m4H4ae?!>d2:1/;8k54g68L1d63-kh64o8;o554?56?2.?j44;fg9j05<72-=>i7::;:k7b?6=,>?n6564;h6ba?6=,>?n69hn;:m7ea<72-=>i7:6d:9j07<72-=>i7:=;:k7b6<72-=>i7:i3:J6g`=!12m3>mn65rb44g>4ok5+8b80?!12m3>m86F;b09'ef<>i>1e;;>530:8 1`>2=lm7d:?:18'30c=<<10e9h50;&41`i7:l4:J6g`=:n4>:983>5}#=jn1:<5G75a8L0ea3-2h6>5+74g90c2<@=h:7)ol:8c4?k11839:56*;f887bc=n<90;6)9:e;66?>o3n3:1(:;j:9:8?l2fm3:1(:;j:5db?>i3im0;6)9:e;6:`>=n<;0;6)9:e;61?>o3n:0;6)9:e;6e7>N2kl10e9m;:18'30c=0D8mj;:k7b4<72-=>i7:ib:9~f00e28036=4?{%7``?063A=?o6F:cg9'i7:i4:J7f4=#ij02m:5a772974g<,=l269hi;h63>5<#?5<#?5<#?5<#?o3k=0;6)9:e;6`0>N2kl10e9h>:18'30c==<729q/9nj5609K31e<@0D9l>;%c`>b:&7b<<3no1b8=4?:%56a?2232c?j7>5$67f>=><3`>ji7>5$67f>1`f32e?mi4?:%56a?2>l21b8?4?:%56a?2532c?j>4?:%56a?2a;2B>oh54i5a7>5<#?o3n80;6)9:e;6ef>=zj<<26<47:183!3dl3<:7E9;c:J6gc=#0j087)9:e;6e0>N3j81/mn46a69m336=;8i0(9h6:5de?l27290/;8k54498m1`=83.<9h478:9j0dc=83.<9h4;f`98k1gc290/;8k548f8?l25290/;8k54398m1`4290/;8k54g18L0eb32c?o94?:%56a?2d<2B>oh54i5d2>5<#?6=#?"3n00?jk5f4183>!12m3>>76g;f;29 23b21207d:ne;29 23b2=lj76a;ae83>!12m3>2h65f4383>!12m3>976g;f283>!12m3>m?6F:cd98m1e3290/;8k54b68L0eb32c?j<4?:%56a?2aj21vn888:08;>5<7s-?hh78>;I57g>N2ko1/4n4<;%56a?2a<2B?n<5+ab8:e2=i??:1?1`a3`>;6=4+74g900==n96=4+74g907=!12m3>h86F:cd98m1`6290/;8k54g`8?xd2>?0:654?:1y'1fb=>81C;9m4H4ae?!>d2:1/;8k54g68L1d63-kh64o8;o554?56n2.?j44;fg9j05<72-=>i7::;:k7b?6=,>?n6564;h6ba?6=,>?n69hn;:m7ea<72-=>i7:6d:9j07<72-=>i7:=;:k7b6<72-=>i7:i3:J6g`=!12m3>mn65rb446>4ok5+8b80?!12m3>m86F;b09'ef<>i>1e;;>53328 1`>2=lm7d:?:18'30c=<<10e9h50;&41`i7:l4:J6g`=;o4>:983>5}#=jn1:<5G75a8L0ea3-2h6>5+74g90c2<@=h:7)ol:8c4?k118399=6*;f887bc=n<90;6)9:e;66?>o3n3:1(:;j:9:8?l2fm3:1(:;j:5db?>i3im0;6)9:e;6:`>=n<;0;6)9:e;61?>o3n:0;6)9:e;6e7>N2kl10e9m;:18'30c=0D8mj;:k7b4<72-=>i7:ib:9~f01f28036=4?{%7``?063A=?o6F:cg9'i7:i4:J7f4=#ij02m:5a7729774<,=l269hi;h63>5<#?5<#?5<#?5<#?o3k=0;6)9:e;6`0>N2kl10e9h>:18'30c==<729q/9nj5609K31e<@0D9l>;%c`>5$67f>=><3`>ji7>5$67f>1`f32e?mi4?:%56a?2>l21b8?4?:%56a?2532c?j>4?:%56a?2a;2B>oh54i5a7>5<#?o3n80;6)9:e;6ef>=zj<=36<47:183!3dl3<:7E9;c:J6gc=#0j087)9:e;6e0>N3j81/mn46a69m336=;;>0(9h6:5de?l27290/;8k54498m1`=83.<9h478:9j0dc=83.<9h4;f`98k1gc290/;8k548f8?l25290/;8k54398m1`4290/;8k54g18L0eb32c?o94?:%56a?2d<2B>oh54i5d2>5<#?6=#?"3n00?jk5f4183>!12m3>>76g;f;29 23b21207d:ne;29 23b2=lj76a;ae83>!12m3>2h65f4383>!12m3>976g;f283>!12m3>m?6F:cd98m1e3290/;8k54b68L0eb32c?j<4?:%56a?2aj21vn899:08;>5<7s-?hh78>;I57g>N2ko1/4n4<;%56a?2a<2B?n<5+ab8:e2=i??:1??84$5d:>1`a3`>;6=4+74g900==n96=4+74g907=!12m3>h86F:cd98m1`6290/;8k54g`8?xd2?<0:654?:1y'1fb=>81C;9m4H4ae?!>d2:1/;8k54g68L1d63-kh64o8;o554?55?2.?j44;fg9j05<72-=>i7::;:k7b?6=,>?n6564;h6ba?6=,>?n69hn;:m7ea<72-=>i7:6d:9j07<72-=>i7:=;:k7b6<72-=>i7:i3:J6g`=!12m3>mn65rb44e>4ok5+8b80?!12m3>m86F;b09'ef<>i>1e;;>533:8 1`>2=lm7d:?:18'30c=<<10e9h50;&41`i7:l4:J6g`=:94>:983>5}#=jn1:<5G75a8L0ea3-2h6>5+74g90c2<@=h:7)ol:8c4?k11839956*;f887bc=n<90;6)9:e;66?>o3n3:1(:;j:9:8?l2fm3:1(:;j:5db?>i3im0;6)9:e;6:`>=n<;0;6)9:e;61?>o3n:0;6)9:e;6e7>N2kl10e9m;:18'30c=0D8mj;:k7b4<72-=>i7:ib:9~f00428036=4?{%7``?063A=?o6F:cg9'i7:i4:J7f4=#ij02m:5a772977g<,=l269hi;h63>5<#?5<#?5<#?5<#?o3k=0;6)9:e;6`0>N2kl10e9h>:18'30c=6<729q/9nj575`8L22d3A?hj6*85d840d=#ij02m:5a772977d<,=l268j>;h60>5<#?i767;:m71<<72-=>i7:6d:9~f36a280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=;;n0(9h6:4f2?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd19h0:6>4?:1y'1fb=?=h0D::l;I7`b>"0=l0<8l5+ab8:e2=i??:1??k4$5d:>0b63`>86=4+74g9<===h<<31<7*85d87=a=?n6n;4$`a9=d1<;6>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi:<751;194?6|,N2ko1/;8k575c8 de=1h=0b:8?:213?!2a13?o=6g;3;29 23b21207dom:18'30c=0110c9;6:18'30c=<0n07pl90c82>1<729q/9nj5c79K31e<@"3n00>h<5f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb73;>4<4290;w);ld;57f>N022f3-kh64o8;o554?54:2.?j44:d09j06<72-=>i767;:kbf?6=,>?n6564;n66=?6=,>?n697k;:a25?=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg06?3;1?7>50z&6ga<0h0>908?95+4g;91a7=nik0;6)9:e;:;?>i3=00;6)9:e;6:`>=zj?:<6<4;:183!3dl3i=7E9;c:J6gc=#?;4$5d:>0b63`>86=4+74g9=n:283>5}#=jn1;9l4H66`?M3dn2.<9h484`9'ef<>i>1e;;>53248 1`>2oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:214?!2a13?o=6g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c=ok5+74g931g<,hi15l94n643>65?3->m57;k1:k77?6=,>?n6564;hca>5<#?5<#?i76n;:k65?6=,>?n6574;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f37328086=4?{%7``?13j2B<8n5G5bd8 23b2>>j7)ol:8c4?k118398m6*;f886`4=n<:0;6)9:e;:;?>ofj3:1(:;j:9:8?j2213:1(:;j:5;g?>{e>9;1=7:50;2x 0ec2j<0D::l;I7`b>"0=l0h96*nc;;b3>h0>908?o5+4g;91a7=n=80;6)9:e;::?>o3n80;6)9:e;6e6>=h<<31<7*85d87=a=i79;a:&bg??f?2d<:=4<3b9'0c?==m;0e9=50;&41`?32e?944?:%56a?2>l21vn;>?:087>5<7s-?hh7m9;I57g>N2ko1/;8k5c49'ef<>i>1e;;>532f8 1`>26<729q/9nj575`8L22d3A?hj6*85d840d=#ij02m:5a772976c<,=l268j>;h60>5<#?i767;:m71<<72-=>i7:6d:9~f0`5280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=;=:0(9h6:4f2?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd2nm0:6>4?:1y'1fb=?=h0D::l;I7`b>"0=l0<8l5+ab8:e2=i??:1?9?4$5d:>0b63`>86=4+74g9<===h<<31<7*85d87=a=?n6n;4$`a9=d1<;6>:=;%6e=?3c92c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi9km51;194?6|,N2ko1/;8k575c8 de=1h=0b:8?:260?!2a13?o=6g;3;29 23b21207dom:18'30c=0110c9;6:18'30c=<0n07pl:ed82>1<729q/9nj5c79K31e<@"3n00>h<5f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb4da>4<4290;w);ld;57f>N022f3-kh64o8;o554?53=2.?j44:d09j06<72-=>i767;:kbf?6=,>?n6564;n66=?6=,>?n697k;:a1`e=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg3ai3;1?7>50z&6ga<0h0>9088:5+4g;91a7=nik0;6)9:e;:;?>i3=00;6)9:e;6:`>=zj0b63`>86=4+74g9=nj44>:283>5}#=jn1;9l4H66`?M3dn2.<9h484`9'ef<>i>1e;;>535;8 1`>2oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:26b?!2a13?o=6g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c=ok5+74g931g<,hi15l94n643>62e3->m57;k1:k77?6=,>?n6564;hca>5<#?5<#?i76n;:k65?6=,>?n6574;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f0`028086=4?{%7``?13j2B<8n5G5bd8 23b2>>j7)ol:8c4?k11839?h6*;f886`4=n<:0;6)9:e;:;?>ofj3:1(:;j:9:8?j2213:1(:;j:5;g?>{e=l>1=7:50;2x 0ec2j<0D::l;I7`b>"0=l0h96*nc;;b3>h0>9088h5+4g;91a7=n=80;6)9:e;::?>o3n80;6)9:e;6e6>=h<<31<7*85d87=a=i79;a:&bg??f?2d<:=4<4g9'0c?==m;0e9=50;&41`?32e?944?:%56a?2>l21vn8k<:087>5<7s-?hh7m9;I57g>N2ko1/;8k5c49'ef<>i>1e;;>53428 1`>26<729q/9nj575`8L22d3A?hj6*85d840d=#ij02m:5a7729707<,=l268j>;h60>5<#?i767;:m71<<72-=>i7:6d:9~f32f280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=;<90(9h6:4f2?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd1=<0:6>4?:1y'1fb=?=h0D::l;I7`b>"0=l0<8l5+ab8:e2=i??:1?8:4$5d:>0b63`>86=4+74g9<===h<<31<7*85d87=a=?n6n;4$`a9=d1<;6>;:;%6e=?3c92c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi:8:51;194?6|,N2ko1/;8k575c8 de=1h=0b:8?:275?!2a13?o=6g;3;29 23b21207dom:18'30c=0110c9;6:18'30c=<0n07pl94782>1<729q/9nj5c79K31e<@"3n00>h<5f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb770>4<4290;w);ld;57f>N022f3-kh64o8;o554?5202.?j44:d09j06<72-=>i767;:kbf?6=,>?n6564;n66=?6=,>?n697k;:a212=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg02:3;1?7>50z&6ga<0h0>9089l5+4g;91a7=nik0;6)9:e;:;?>i3=00;6)9:e;6:`>=zj?>96<4;:183!3dl3i=7E9;c:J6gc=#?0b63`>86=4+74g9=n:283>5}#=jn1;9l4H66`?M3dn2.<9h484`9'ef<>i>1e;;>534a8 1`>2oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:27g?!2a13?o=6g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c=ok5+74g931g<,hi15l94n643>63b3->m57;k1:k77?6=,>?n6564;hca>5<#?5<#?i76n;:k65?6=,>?n6574;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f32a28086=4?{%7``?13j2B<8n5G5bd8 23b2>>j7)ol:8c4?k11839=<6*;f886`4=n<:0;6)9:e;:;?>ofj3:1(:;j:9:8?j2213:1(:;j:5;g?>{e>:i1=7:50;2x 0ec2j<0D::l;I7`b>"0=l0h96*nc;;b3>h0>908:<5+4g;91a7=n=80;6)9:e;::?>o3n80;6)9:e;6e6>=h<<31<7*85d87=a=i79;a:&bg??f?2d<:=4<639'0c?==m;0e9=50;&41`?32e?944?:%56a?2>l21vn;=m:087>5<7s-?hh7m9;I57g>N2ko1/;8k5c49'ef<>i>1e;;>53718 1`>26<729q/9nj575`8L22d3A?hj6*85d840d=#ij02m:5a7729732<,=l268j>;h60>5<#?<1/8k755e38m15=83.<9h478:9jeg<72-=>i767;:m71<<72-=>i7:6d:9~f34d280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=;?<0(9h6:4f2?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd1;>0:6>4?:1y'1fb=?=h0D::l;I7`b>"0=l0<8l5+ab8:e2=i??:1?;94$5d:>0b63`>86=4+74g9<===h<<31<7*85d87=a=?n6n;4$`a9=d1<;6>87;%6e=?3c92c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi:>851;194?6|,N2ko1/;8k575c8 de=1h=0b:8?:24:?!2a13?o=6g;3;29 23b21207dom:18'30c=0110c9;6:18'30c=<0n07pl92982>1<729q/9nj5c79K31e<@"3n00>h<5f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb716>4<4290;w);ld;57f>N022f3-kh64o8;o554?51j2.?j44:d09j06<72-=>i767;:kbf?6=,>?n6564;n66=?6=,>?n697k;:a270=93>1<7>t$4ag>f0<@>>h7E;lf:&41`j1/8k755e38m15=83.<9h47a:9j14<72-=>i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg04<3;1?7>50z&6ga<0h0>908:i5+4g;91a7=nik0;6)9:e;:;?>i3=00;6)9:e;6:`>=zj?8?6<4;:183!3dl3i=7E9;c:J6gc=#?0b63`>86=4+74g9=n4>:283>5}#=jn1;9l4H66`?M3dn2.<9h484`9'ef<>i>1e;;>537d8 1`>2oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:253?!2a13?o=6g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c=ok5+74g931g<,hi15l94n643>6163->m57;k1:k77?6=,>?n6564;hca>5<#?5<#?i76n;:k65?6=,>?n6574;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f35628086=4?{%7``?13j2B<8n5G5bd8 23b2>>j7)ol:8c4?k11839ofj3:1(:;j:9:8?j2213:1(:;j:5;g?>{e>8o1=7:50;2x 0ec2j<0D::l;I7`b>"0=l0h96*nc;;b3>h0>908;95+4g;91a7=n=80;6)9:e;::?>o3n80;6)9:e;6e6>=h<<31<7*85d87=a=i79;a:&bg??f?2d<:=4<749'0c?==m;0e9=50;&41`?32e?944?:%56a?2>l21vn;?k:087>5<7s-?hh7m9;I57g>N2ko1/;8k5c49'ef<>i>1e;;>53648 1`>26<729q/9nj575`8L22d3A?hj6*85d840d=#ij02m:5a7729721<,=l268j>;h60>5<#?i767;:m71<<72-=>i7:6d:9~f3>5280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=;>30(9h6:4f2?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd11>0:6>4?:1y'1fb=?=h0D::l;I7`b>"0=l0<8l5+ab8:e2=i??:1?:o4$5d:>0b63`>86=4+74g9<===h<<31<7*85d87=a=?n6n;4$`a9=d1<;6>9m;%6e=?3c92c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi:4851;194?6|,N2ko1/;8k575c8 de=1h=0b:8?:25`?!2a13?o=6g;3;29 23b21207dom:18'30c=0110c9;6:18'30c=<0n07pl98182>1<729q/9nj5c79K31e<@"3n00>h<5f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb7;6>4<4290;w);ld;57f>N022f3-kh64o8;o554?50m2.?j44:d09j06<72-=>i767;:kbf?6=,>?n6564;n66=?6=,>?n697k;:a22c=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg0><3;1?7>50z&6ga<0h0>9084=5+4g;91a7=nik0;6)9:e;:;?>i3=00;6)9:e;6:`>=zj?=o6<4;:183!3dl3i=7E9;c:J6gc=#?0b63`>86=4+74g9=n4>:283>5}#=jn1;9l4H66`?M3dn2.<9h484`9'ef<>i>1e;;>53908 1`>2oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:2:0?!2a13?o=6g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c=ok5+74g931g<,hi15l94n643>6>33->m57;k1:k77?6=,>?n6564;hca>5<#?5<#?i76n;:k65?6=,>?n6574;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f3?628086=4?{%7``?13j2B<8n5G5bd8 23b2>>j7)ol:8c4?k118393:6*;f886`4=n<:0;6)9:e;:;?>ofj3:1(:;j:9:8?j2213:1(:;j:5;g?>{e>>31=7:50;2x 0ec2j<0D::l;I7`b>"0=l0h96*nc;;b3>h0>9084:5+4g;91a7=n=80;6)9:e;::?>o3n80;6)9:e;6e6>=h<<31<7*85d87=a=i79;a:&bg??f?2d<:=4<899'0c?==m;0e9=50;&41`?32e?944?:%56a?2>l21vn;98:080>5<7s-?hh79;b:J40f=O=jl0(:;j:66b?!gd20k<7c990;1;=>"3n00>h<5f4283>!12m32376gnb;29 23b21207b::9;29 23b2=3o76sm67195?2=83:p(8mk:b48L22d3A?hj6*85d8`1>"fk33j;6`86180!12m32276g;f083>!12m3>m>65`44;94?"0=l0?5i54}c442?7=;3:1i767;:m71<<72-=>i7:6d:9~f306280?6=4?{%7``?e13A=?o6F:cg9'30c=k<1/mn46a69m336=;1i0(9h6:4f2?l24290/;8k58`98m07=83.<9h479:9j0c7=83.<9h4;f398k13>290/;8k548f8?xd1?<0:6>4?:1y'1fb=?=h0D::l;I7`b>"0=l0<8l5+ab8:e2=i??:1?5j4$5d:>0b63`>86=4+74g9<===h<<31<7*85d87=a=?n6n;4$`a9=d1<;6>6j;%6e=?3c92c??7>5$67f>=g<3`?:6=4+74g9<<=>57>5$67f>1?c32wi:::51;194?6|,N2ko1/;8k575c8 de=1h=0b:8?:2:e?!2a13?o=6g;3;29 23b21207dom:18'30c=0110c9;6:18'30c=<0n07pl95g82>1<729q/9nj5c79K31e<@"3n00>h<5f4283>!12m32j76g:1;29 23b21307d:i1;29 23b2=l976a;5883>!12m3>2h65rb750>4<4290;w);ld;57f>N022f3-kh64o8;o554?5>92.?j44:d09j06<72-=>i767;:kbf?6=,>?n6564;n66=?6=,>?n697k;:a20b=93>1<7>t$4ag>f0<@>>h7E;lf:&41`i766;:k7b4<72-=>i7:i2:9l00?=83.<9h4;9e98yg00:3;1?7>50z&6ga<0h0>9085>5+4g;91a7=nik0;6)9:e;:;?>i3=00;6)9:e;6:`>=zj??h6<4;:183!3dl3i=7E9;c:J6gc=#?0b63`>86=4+74g9=n:283>5}#=jn1;9l4H66`?M3dn2.<9h484`9'ef<>i>1e;;>53878 1`>2oi4l6:J40f=O=jl0(:;j:b78 de=1h=0b:8?:2;5?!2a13?o=6g;3;29 23b21k07d;>:18'30c=0010e9h>:18'30c=ok5+74g931g<,hi15l94n643>6?03->m57;k1:k77?6=,>?n6564;hca>5<#?5<#?02.?j44:d09j06<72-=>i76n;:k65?6=,>?n6574;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f24d28036=4?{%7``?063A=?o6F:cg9'30c=0(5m53:J7f4=#ij02m:5a77297;h63>5<#?5<#?5<#?5<#?o3k=0;6)9:e;6`0>N2kl10e9h>:18'30c==<729q/9nj5609K31e<@"?k390D9l>;%c`>5$67f>=><3`>ji7>5$67f>1`f32e?mi4?:%56a?2>l21b8?4?:%56a?2532c?j>4?:%56a?2a;2B>oh54i5a7>5<#?o3n80;6)9:e;6ef>=zj>8j6<47:183!3dl3<:7E9;c:J6gc=#?N3j81/mn46a69m336=;0h0(9h6:4f2?l27290/;8k54498m1`=83.<9h478:9j0dc=83.<9h4;f`98k1gc290/;8k548f8?l25290/;8k54398m1`4290/;8k54g18L0eb32c?o94?:%56a?2d<2B>oh54i5d2>5<#?"3n00>h<5f4183>!12m3>>76g;f;29 23b21207d:ne;29 23b2=lj76a;ae83>!12m3>2h65f4383>!12m3>976g;f283>!12m3>m?6F:cd98m1e3290/;8k54b68L0eb32c?j<4?:%56a?2aj21vn:<7:08;>5<7s-?hh78>;I57g>N2ko1/;8k54g68 =e=;2B?n<5+ab8:e2=i??:1?4j4$5d:>0b63`>;6=4+74g900==n96=4+74g907=!12m3>h86F:cd98m1`6290/;8k54g`8?xd0:>0:654?:1y'1fb=>81C;9m4H4ae?!12m3>m86*7c;18L1d63-kh64o8;o554?5>m2.?j44:d09j05<72-=>i7::;:k7b?6=,>?n6564;h6ba?6=,>?n69hn;:m7ea<72-=>i7:6d:9j07<72-=>i7:=;:k7b6<72-=>i7:i3:J6g`=!12m3>mn65rb605>4ok5+74g90c2<,1i1?6F;b09'ef<>i>1e;;>538d8 1`>2i7:l4:J6g`=84>:983>5}#=jn1:<5G75a8L0ea3-=>i7:i4:&;g?5<@=h:7)ol:8c4?k11839j<6*;f886`4=n<90;6)9:e;66?>o3n3:1(:;j:9:8?l2fm3:1(:;j:5db?>i3im0;6)9:e;6:`>=n<;0;6)9:e;61?>o3n:0;6)9:e;6e7>N2kl10e9m;:18'30c=0D8mj;:k7b4<72-=>i7:ib:9~f24328036=4?{%7``?063A=?o6F:cg9'30c=0(5m53:J7f4=#ij02m:5a77297d7<,=l268j>;h63>5<#?5<#?5<#?5<#?o3k=0;6)9:e;6`0>N2kl10e9h>:18'30c==<729q/9nj5609K31e<@"?k390D9l>;%c`>5$67f>=><3`>ji7>5$67f>1`f32e?mi4?:%56a?2>l21b8?4?:%56a?2532c?j>4?:%56a?2a;2B>oh54i5a7>5<#?o3n80;6)9:e;6ef>=zj>>;6<47:183!3dl3<97E9;c:J6gc=#?N3j81/mn46a69m336=;h90(9h6:4f2?l27290/;8k54498m14=83.<9h4;2:9j0c<72-=>i767;:k7e`<72-=>i7:ia:9l0db=83.<9h4;9e98m1`4290/;8k54g18L0eb32c?o94?:%56a?2d<2B>oh54i5d2>5<#?"3n00>h<5f4183>!12m3>>76g;2;29 23b2=807d:i:18'30c=0110e9oj:18'30c=!12m3>m?6F:cd98m1e3290/;8k54b68L0eb32c?j<4?:%56a?2aj21vn:=j:08;>5<7s-?hh78=;I57g>N2ko1/;8k54g68 =e=;2B?n<5+ab8:e2=i??:1?l;4$5d:>0b63`>;6=4+74g900==no3il0;6)9:e;6ee>=h!12m3>h86F:cd98m1`6290/;8k54g`8?xd0;m0:654?:1y'1fb=>;1C;9m4H4ae?!12m3>m86*7c;18L1d63-kh64o8;o554?5f>2.?j44:d09j05<72-=>i7::;:k76?6=,>?n69<4;h6e>5<#?5<#??n697k;:k7b6<72-=>i7:i3:J6g`=!12m3>mn65rb61`>4ok5+74g90c2<,1i1?6F;b09'ef<>i>1e;;>53`58 1`>2?32c?mh4?:%56a?2ai21d8lj50;&41`<31m10e9h<:18'30c=i7:l4:J6g`=:983>5}#=jn1:?5G75a8L0ea3-=>i7:i4:&;g?5<@=h:7)ol:8c4?k11839j46*;f886`4=n<90;6)9:e;66?>o3:3:1(:;j:508?l2a290/;8k58998m1gb290/;8k54gc8?j2fl3:1(:;j:5;g?>o3n:0;6)9:e;6e7>N2kl10e9m;:18'30c=0D8mj;:k7b4<72-=>i7:ib:9~f25f28036=4?{%7``?053A=?o6F:cg9'30c=0(5m53:J7f4=#ij02m:5a77297d?<,=l268j>;h63>5<#?65f4g83>!12m32376g;ad83>!12m3>mm65`4`f94?"0=l0?5i54i5d0>5<#?o3k=0;6)9:e;6`0>N2kl10e9h>:18'30c==<729q/9nj5639K31e<@"?k390D9l>;%c`>7>5$67f>14<3`>m6=4+74g9<==jh7>5$67f>1?c32c?j>4?:%56a?2a;2B>oh54i5a7>5<#?o3n80;6)9:e;6ef>=zj>936<47:183!3dl3<97E9;c:J6gc=#?N3j81/mn46a69m336=;hh0(9h6:4f2?l27290/;8k54498m14=83.<9h4;2:9j0c<72-=>i767;:k7e`<72-=>i7:ia:9l0db=83.<9h4;9e98m1`4290/;8k54g18L0eb32c?o94?:%56a?2d<2B>oh54i5d2>5<#?"3n00>h<5f4183>!12m3>>76g;2;29 23b2=807d:i:18'30c=0110e9oj:18'30c=!12m3>m?6F:cd98m1e3290/;8k54b68L0eb32c?j<4?:%56a?2aj21vn:>::085>5<7s-?hh78?;I57g>N2ko1/;8k5699'i=6*nc;;b3>h0>908mi5+4g;91a7=n<;0;6)9:e;61?>o3n3:1(:;j:9:8?j2fl3:1(:;j:5;g?>o3ij0;6)9:e;6e7>=n=zj>:?6<49:183!3dl3<;7E9;c:J6gc=#?i7:=;:k7b?6=,>?n6564;n6b`?6=,>?n697k;:k7ef<72-=>i7:i3:9j0d<72-=>i7:l4:9~f264280=6=4?{%7``?073A=?o6F:cg9'30c=>11/4n4<;I6a5>"fk33j;6`86180ec=#!12m3>976g;f;29 23b21207b:nd;29 23b2=3o76g;ab83>!12m3>m?65f4`83>!12m3>h865rb621>4<1290;w);ld;43?M13k2B>ok5+74g92==#0j087E:m1:&bg??f?2d<:=450;&41`<3=21b8?4?:%56a?2532c?j7>5$67f>=><3f>jh7>5$67f>1?c32c?mn4?:%56a?2a;21b8l4?:%56a?2d<21vn:>>:085>5<7s-?hh78?;I57g>N2ko1/;8k5699'i=6*nc;;b3>h0>908n<5+4g;91a7=n<;0;6)9:e;61?>o3n3:1(:;j:9:8?j2fl3:1(:;j:5;g?>o3ij0;6)9:e;6e7>=n=zj>:;6<49:183!3dl3<;7E9;c:J6gc=#?i7:=;:k7b?6=,>?n6564;n6b`?6=,>?n697k;:k7ef<72-=>i7:i3:9j0d<72-=>i7:l4:9~f3`a280=6=4?{%7``?073A=?o6F:cg9'30c=>11/4n4<;I6a5>"fk33j;6`86180f6=#!12m3>976g;f;29 23b21207b:nd;29 23b2=3o76g;ab83>!12m3>m?65f4`83>!12m3>h865rb7df>4<1290;w);ld;43?M13k2B>ok5+74g92==#0j087E:m1:&bg??f?2d<:=450;&41`<3=21b8?4?:%56a?2532c?j7>5$67f>=><3f>jh7>5$67f>1?c32c?mn4?:%56a?2a;21b8l4?:%56a?2d<21vn;hk:085>5<7s-?hh78?;I57g>N2ko1/;8k5699'i=6*nc;;b3>h0>908n85+4g;91a7=n<;0;6)9:e;61?>o3n3:1(:;j:9:8?j2fl3:1(:;j:5;g?>o3ij0;6)9:e;6e7>=n=zj?lh6<49:183!3dl3<;7E9;c:J6gc=#?i7:=;:k7b?6=,>?n6564;n6b`?6=,>?n697k;:k7ef<72-=>i7:i3:9j0d<72-=>i7:l4:9~f3eb280=6=4?{%7``?073A=?o6F:cg9'30c=>11/4n4<;I6a5>"fk33j;6`86180f2=#!12m3>976g;f;29 23b21207b:nd;29 23b2=3o76g;ab83>!12m3>m?65f4`83>!12m3>h865rb7ag>4<1290;w);ld;43?M13k2B>ok5+74g92==#0j087E:m1:&bg??f?2d<:=450;&41`<3=21b8?4?:%56a?2532c?j7>5$67f>=><3f>jh7>5$67f>1?c32c?mn4?:%56a?2a;21b8l4?:%56a?2d<21vn;ml:085>5<7s-?hh78?;I57g>N2ko1/;8k5699'i=6*nc;;b3>h0>908n45+4g;91a7=n<;0;6)9:e;61?>o3n3:1(:;j:9:8?j2fl3:1(:;j:5;g?>o3ij0;6)9:e;6e7>=n=zj?ii6<49:183!3dl3<;7E9;c:J6gc=#?i7:=;:k7b?6=,>?n6564;n6b`?6=,>?n697k;:k7ef<72-=>i7:i3:9j0d<72-=>i7:l4:9~f3ef280=6=4?{%7``?073A=?o6F:cg9'30c=>11/4n4<;I6a5>"fk33j;6`86180fg=#!12m3>976g;f;29 23b21207b:nd;29 23b2=3o76g;ab83>!12m3>m?65f4`83>!12m3>h865rb7a:>4<1290;w);ld;43?M13k2B>ok5+74g92==#0j087E:m1:&bg??f?2d<:=450;&41`<3=21b8?4?:%56a?2532c?j7>5$67f>=><3f>jh7>5$67f>1?c32c?mn4?:%56a?2a;21b8l4?:%56a?2d<21vn;m7:085>5<7s-?hh78?;I57g>N2ko1/;8k5699'i=6*nc;;b3>h0>908ni5+4g;91a7=n<;0;6)9:e;61?>o3n3:1(:;j:9:8?j2fl3:1(:;j:5;g?>o3ij0;6)9:e;6e7>=n=zj?i<6<49:183!3dl3<;7E9;c:J6gc=#?i7:=;:k7b?6=,>?n6564;n6b`?6=,>?n697k;:k7ef<72-=>i7:i3:9j0d<72-=>i7:l4:9~f3e1280=6=4?{%7``?073A=?o6F:cg9'30c=>11/4n4<;I6a5>"fk33j;6`86180fc=#!12m3>976g;f;29 23b21207b:nd;29 23b2=3o76g;ab83>!12m3>m?65f4`83>!12m3>h865rb7a6>4<1290;w);ld;43?M13k2B>ok5+74g92==#0j087E:m1:&bg??f?2d<:=450;&41`<3=21b8?4?:%56a?2532c?j7>5$67f>=><3f>jh7>5$67f>1?c32c?mn4?:%56a?2a;21b8l4?:%56a?2d<21vn;l;:08;>5<7s-?hh78>;I57g>N2ko1/;8k54g68 =e=;2B?n<5+ab8:e2=i??:1?n?4$5d:>0b63`>;6=4+74g900==n96=4+74g907=!12m3>h86F:cd98m1`6290/;8k54g`8?xd11o0:654?:1y'1fb=>81C;9m4H4ae?!12m3>m86*7c;18L1d63-kh64o8;o554?5d:2.?j44:d09j05<72-=>i7::;:k7b?6=,>?n6564;h6ba?6=,>?n69hn;:m7ea<72-=>i7:6d:9j07<72-=>i7:=;:k7b6<72-=>i7:i3:J6g`=!12m3>mn65rb4f1>4ok5+74g90c2<,1i1?6F;b09'ef<>i>1e;;>53b18 1`>2i7:l4:J6g`=hl4>:983>5}#=jn1:<5G75a8L0ea3-=>i7:i4:&;g?5<@=h:7)ol:8c4?k11839h86*;f886`4=n<90;6)9:e;66?>o3n3:1(:;j:9:8?l2fm3:1(:;j:5db?>i3im0;6)9:e;6:`>=n<;0;6)9:e;61?>o3n:0;6)9:e;6e7>N2kl10e9m;:18'30c=0D8mj;:k7b4<72-=>i7:ib:9~f3d?28036=4?{%7``?063A=?o6F:cg9'30c=0(5m53:J7f4=#ij02m:5a77297f3<,=l268j>;h63>5<#?5<#?5<#?5<#?o3k=0;6)9:e;6`0>N2kl10e9h>:18'30c=1<729q/9nj5b79K31e<@"fk33j;6*7c;58j2072:i=7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:k;0?6=,>?n6>94n67`>7=:483>5}#=jn1n55G75a8L0ea3-=>i7:l3:&bg??f?2.3o7?8;o554?5d?2.?j447599j<7<72-=>i7=8;o56g?6<3`286=4+74g972=i?!12m39<7c9:c;08?l>2290/;8k5369m30e=;21d88750;&41`<31m10qo6=0;391?6=8r.>oi4m8:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:038j2072:i37):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:k;0?6=,>?n6>94n67`>7=h0=j0876a;5883>!12m3>2h65rb902>4<4290;w);ld;`0?M13k2B>ok5+74g90f5<,hi15l94$9a96==i??:1?n74$5d:>=3?3`296=4+74g972=i?!12m39<7c9:c;38?j2213:1(:;j:5;g?>{e0;81=7;50;2x 0ec2k20D::l;I7`b>"0=l0?o>5+ab8:e2=#0j09m6`86180gd=#o?;3:1(:;j:258j23d2810e5:50;&41`<4?2d<9n4=;:k;1?6=,>?n6>94n67`>6=>4>:483>5}#=jn1n55G75a8L0ea3-=>i7:l3:&bg??f?2.3o7=9;o554?5dj2.?j447599j<7<72-=>i7=8;o56g?6<3`286=4+74g972=i?!12m39<7c9:c;08?l>2290/;8k5369m30e=;21d88750;&41`<31m10qo;k7;391?6=8r.>oi4m8:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:7f8j2072:ih7):i9;7g5>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:k;0?6=,>?n6>94n67`>7=h0=j0876a;5883>!12m3>2h65rb666>4<3290;w);ld;`5?M13k2B>ok5+74g90f5<,hi15l94$9a96<=i??:1?nj4$5d:>0b63`296=4+74g972=i?!12m39<7c9:c;38?l>3290/;8k5369m30e=:21d88750;&41`<31m10qo;kd;390?6=8r.>oi4m6:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:4a8j2072:in7):i9;7g5>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:k;0?6=,>?n6>94n67`>7=hn4>:483>5}#=jn1n55G75a8L0ea3-=>i7:l3:&bg??f?2.3o7:4n643>6ea3->m57;k1:k;6?6=,>?n6>94n67`>5=h0=j0:76g74;29 23b2:=0b:;l:398m=3=83.<9h4<7:l41f<432e?944?:%56a?2>l21vn8l;:080>5<7s-?hh7l<;I57g>N2ko1/;8k54b18 de=1h=0(5m5129m336=;m:0(9h6:5de?l>5290/;8k5369m30e=821b4>4?:%56a?503g=>o7?4;n66=?6=,>?n697k;:a1g4=9391<7>t$4ag>g5<@>>h7E;lf:&41`<3k:1/mn46a69'?n6>94n67`>4=n=4>:283>5}#=jn1n>5G75a8L0ea3-=>i7:l3:&bg??f?2.3o7?<;o554?5c:2.?j44;fg9j<7<72-=>i7=8;o56g?6<3`286=4+74g972=i?N01e43-kh64o8;%:`><=i??:1?i=4$5d:>0b63`296=4+74g972=i?!12m39<7c9:c;38?l>3290/;8k5369m30e=:21d88750;&41`<31m10qo;ne;397?6=8r.>oi4m3:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:018j2072:n?7):i9;6eb>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:m71<<72-=>i7:6d:9~f0gd28086=4?{%7``?d43A=?o6F:cg9'30c=53e78 1`>2=lm7d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3f>>57>5$67f>1?c32wi9l651;194?6|,i>1/4n4>3:l425<4l?1/8k754gd8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54o57:>5<#?o7>4;h:0>5<#?=h<<31<7*85d87=a=?n69m<;%c`>6b?3->m57:if:k;6?6=,>?n6>94n67`>5=h0=j0:76a;5883>!12m3>2h65rb4c1>4<4290;w);ld;`0?M13k2B>ok5+74g90f5<,hi15l94$9a956=i??:1?i74$5d:>1`a3`296=4+74g972=i?!12m39<7c9:c;38?j2213:1(:;j:5;g?>{e=h:1=7=50;2x 0ec2k90D::l;I7`b>"0=l0?o>5+ab8:e2=#0j0:?6`86180`d=#o?;3:1(:;j:258j23d2810c9;6:18'30c=<0n07pl:9d82>6<729q/9nj5b29K31e<@"fk33j;6*7c;30?k11839on6*;f887bc=n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9l00?=83.<9h4;9e98yg0bi3;187>50z&6ga2B<8n5G5bd8 23b2=i87)ol:8c4?!>d2?>0b:8?:2f`?!2a13?o=6g72;29 23b2:=0b:;l:198m=5=83.<9h4<7:l41f<632c387>5$67f>61?h6?54o57:>5<#?<;6>jk;%6e=?3c92c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>o?<3:1(:;j:258j23d2;10e5;50;&41`<4?2d<9n4<;:m71<<72-=>i7:6d:9~f0?d28086=4?{%7``?d43A=?o6F:cg9'30c=53eg8 1`>2=lm7d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3f>>57>5$67f>1?c32wi94o51;194?6|,i>1/4n4>3:l425<4lo1/8k754gd8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54o57:>5<#?o7>4;h:0>5<#?=h<<31<7*85d87=a=?n69m<;%c`>6c63->m57:if:k;6?6=,>?n6>94n67`>5=h0=j0:76a;5883>!12m3>2h65rb4a7>4<4290;w);ld;`0?M13k2B>ok5+74g90f5<,hi15l94$9a956=i??:1?h<4$5d:>1`a3`296=4+74g972=i?!12m39<7c9:c;38?j2213:1(:;j:5;g?>{e=j81=7=50;2x 0ec2k90D::l;I7`b>"0=l0?o>5+ab8:e2=#0j0:?6`86180a6=#o?;3:1(:;j:258j23d2810c9;6:18'30c=<0n07pl:c182>6<729q/9nj5b29K31e<@"fk33j;6*7c;30?k11839n86*;f887bc=n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9l00?=83.<9h4;9e98yg3em3;1?7>50z&6gad2890b:8?:2g6?!2a13>mj6g72;29 23b2:=0b:;l:198m=5=83.<9h4<7:l41f<632e?944?:%56a?2>l21vn8ll:080>5<7s-?hh7l<;I57g>N2ko1/;8k54b18 de=1h=0(5m5129m336=;l<0(9h6:5de?l>5290/;8k5369m30e=821b4>4?:%56a?503g=>o7?4;n66=?6=,>?n697k;:a1gg=9391<7>t$4ag>g5<@>>h7E;lf:&41`<3k:1/mn46a69'?n6>94n67`>4=n54>:283>5}#=jn1n>5G75a8L0ea3-=>i7:l3:&bg??f?2.3o7?<;o554?5b02.?j44;fg9j<7<72-=>i7=8;o56g?6<3`286=4+74g972=i?N01e43-kh64o8;%:`>45<;6>k6;%6e=?2an2c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>i3=00;6)9:e;6:`>=zj5a77297`g<,=l269hi;h:1>5<#?=n0:0;6)9:e;14?k12k3;07b::9;29 23b2=3o76sm6d195?3=83:p(8mk:c:8L22d3A?hj6*85d87g6=#ij02m:5+8b85a>h0>908io5+4g;91a7h0=j0;76g73;29 23b2:=0b:;l:098m=2=83.<9h4<7:l41f<532c397>5$67f>61?h6>54o57:>5<#?o7>4;h:0>5<#?=n0=0;6)9:e;14?k12k3807d6::18'30c=;>1e;8m53:9l00?=83.<9h4;9e98yg0bj3;197>50z&6gad2?i0b:8?:2gg?!2a13?o=6g72;29 23b2:=0b:;l:198m=5=83.<9h4<7:l41f<632c387>5$67f>61?h6?54i9794?"0=l08;6`85b80?>i3=00;6)9:e;6:`>=zj?oh6<4::183!3dl3h37E9;c:J6gc=#?o?;3:1(:;j:258j23d2810e5:50;&41`<4?2d<9n4=;:k;1?6=,>?n6>94n67`>6=:483>5}#=jn1n55G75a8L0ea3-=>i7:l3:&bg??f?2.3o78j;o554?5bn2.?j44:d09j<7<72-=>i7=8;o56g?6<3`286=4+74g972=i?!12m39<7c9:c;08?l>2290/;8k5369m30e=;21d88750;&41`<31m10qo8i1;390?6=8r.>oi4m6:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:478j2072:l;7):i9;7g5>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:k;0?6=,>?n6>94n67`>7=:483>5}#=jn1n55G75a8L0ea3-=>i7:l3:&bg??f?2.3o7?m;o554?5a92.?j44:d09j<7<72-=>i7=8;o56g?6<3`286=4+74g972=i?!12m39<7c9:c;08?l>2290/;8k5369m30e=;21d88750;&41`<31m10qo6?8;390?6=8r.>oi4m6:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:768j2072:l97):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:k;0?6=,>?n6>94n67`>7=k4>:483>5}#=jn1n55G75a8L0ea3-=>i7:l3:&bg??f?2.3o78j;o554?5a;2.?j447599j<7<72-=>i7=8;o56g?6<3`286=4+74g972=i?!12m39<7c9:c;08?l>2290/;8k5369m30e=;21d88750;&41`<31m10qo78f;390?6=8r.>oi4m6:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:b9m336=;o>0(9h6:97;?l>5290/;8k5369m30e=821b4>4?:%56a?503g=>o7?4;h:7>5<#?=h<<31<7*85d87=a=?n69m<;%c`>74n643>6`23->m576:8:k;6?6=,>?n6>94n67`>5=h0=j0:76g74;29 23b2:=0b:;l:398k13>290/;8k548f8?xd0?80:684?:1y'1fb=j11C;9m4H4ae?!12m3>h?6*nc;;b3>"?k3897c990;1e2>"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921b494?:%56a?503g=>o7<4;h:6>5<#?=h<<31<7*85d87=a=?n69m<;%c`>6`03->m576:8:k;6?6=,>?n6>94n67`>5=h0=j0:76g74;29 23b2:=0b:;l:398m=3=83.<9h4<7:l41f<432e?944?:%56a?2>l21vn:8k:086>5<7s-?hh7l7;I57g>N2ko1/;8k54b18 de=1h=0(5m5239m336=;o20(9h6:97;?l>5290/;8k5369m30e=821b4>4?:%56a?503g=>o7?4;h:7>5<#?=n0<0;6)9:e;14?k12k3907b::9;29 23b2=3o76sm92195?3=83:p(8mk:c:8L22d3A?hj6*85d87g6=#ij02m:5+8b85e>h0>908j45+4g;9<0>h0=j0;76g73;29 23b2:=0b:;l:098m=2=83.<9h4<7:l41f<532c397>5$67f>61?h6>54o57:>5<#?o7>4;h:0>5<#?=n0=0;6)9:e;14?k12k3807d6::18'30c=;>1e;8m53:9l00?=83.<9h4;9e98yg72:3;187>50z&6ga2B<8n5G5bd8 23b2=i87)ol:8c4?!>d2;>0b:8?:2da?!2a132>46g72;29 23b2:=0b:;l:198m=5=83.<9h4<7:l41f<632c387>5$67f>61?h6?54o57:>5<#?o7>4;h:0>5<#?=h<<31<7*85d87=a=?n69m<;%c`>;4n643>6`c3->m576:8:k;6?6=,>?n6>94n67`>5=h0=j0:76g74;29 23b2:=0b:;l:398m=3=83.<9h4<7:l41f<432e?944?:%56a?2>l21vn:9m:086>5<7s-?hh7l7;I57g>N2ko1/;8k54b18 de=1h=0(5m5a:l425<4nl1/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54i9694?"0=l08;6`85b81?>o?=3:1(:;j:258j23d2:10c9;6:18'30c=<0n07pl87b82>1<729q/9nj5b79K31e<@"fk33j;6*7c;58j2072:lm7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:k;0?6=,>?n6>94n67`>7=:483>5}#=jn1n55G75a8L0ea3-=>i7:l3:&bg??f?2.3o789;o554?2782.?j447599j<7<72-=>i7=8;o56g?6<3`286=4+74g972=i?!12m39<7c9:c;08?l>2290/;8k5369m30e=;21d88750;&41`<31m10qo?:0;391?6=8r.>oi4m8:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:378j2072=::7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:k;0?6=,>?n6>94n67`>7=h0=j0876a;5883>!12m3>2h65rb06f>4<3290;w);ld;`5?M13k2B>ok5+74g90f5<,hi15l94$9a961=i??:18=<4$5d:>=3?3`296=4+74g972=i?!12m39<7c9:c;38?l>3290/;8k5369m30e=:21d88750;&41`<31m10qo9j4;397?6=8r.>oi4m3:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:0d8j2072=:87):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:m71<<72-=>i7:6d:9~f2`f28086=4?{%7``?d43A=?o6F:cg9'30c=54168 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3f>>57>5$67f>1?c32wi;hl51;794?6|,i>1/4n4=7:l425<38<1/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54i9694?"0=l08;6`85b81?>o?=3:1(:;j:258j23d2:10c9;6:18'30c=<0n07pl<4482>1<729q/9nj5b79K31e<@"fk33j;6*7c;58j2072=:=7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:k;0?6=,>?n6>94n67`>7=:283>5}#=jn1n>5G75a8L0ea3-=>i7:l3:&bg??f?2.3o7i7=8;o56g?6<3`286=4+74g972=i?N01e43-kh64o8;%:`>71<;69>7;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>o?<3:1(:;j:258j23d2;10e5;50;&41`<4?2d<9n4<;:m71<<72-=>i7:6d:9~f62?28086=4?{%7``?d43A=?o6F:cg9'30c=541;8 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3f>>57>5$67f>1?c32wi?9951;794?6|,i>1/4n4<5:l425<38h1/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54i9694?"0=l08;6`85b81?>o?=3:1(:;j:258j23d2:10c9;6:18'30c=<0n07pl<4382>0<729q/9nj5b99K31e<@"fk33j;6*7c;16?k1183>;n6*;f88;1==n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9j<1<72-=>i7=8;o56g?4<3`2>6=4+74g972=i?N01e43-kh64o8;%:`>7><;69>l;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>i3=00;6)9:e;6:`>=zj02m6<4<:183!3dl3h87E9;c:J6gc=#?5a772905b<,=l265;7;h:1>5<#?=n0:0;6)9:e;14?k12k3;07b::9;29 23b2=3o76sm98295?3=83:p(8mk:c:8L22d3A?hj6*85d87g6=#ij02m:5+8b800>h0>90?h0=j0;76g73;29 23b2:=0b:;l:098m=2=83.<9h4<7:l41f<532c397>5$67f>61?h6>54o57:>5<#?<;69>i;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>o?<3:1(:;j:258j23d2;10c9;6:18'30c=<0n07pl<4582>6<729q/9nj5b29K31e<@"fk33j;6*7c;77?k1183>:<6*;f88;1==n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9l00?=83.<9h4;9e98yg53;3;197>50z&6gad2:?0b:8?:532?!2a132>46g72;29 23b2:=0b:;l:198m=5=83.<9h4<7:l41f<632c387>5$67f>61?h6?54i9794?"0=l08;6`85b80?>i3=00;6)9:e;6:`>=zj1:1=7<50;2x 0ec2=3j7E9;c:J6gc=#?6290/;8k58998k13>290/;8k548f8?xd0<10:6?4?:1y'1fb=<0k0D::l;I7`b>"0=l08n6F60:&:6?2>l2.jo77n7:l425<39:1/8k7584:8m=7=83.<9h478:9l00?=83.<9h4;9e98yg2fi3;1>7>50z&6ga<31h1C;9m4H4ae?!12m39i7E7?;%;1>1?c3-kh64o8;o554?26<2.?j447599j<4<72-=>i767;:m71<<72-=>i7:6d:9~f1e528096=4?{%7``?2>i2B<8n5G5bd8 23b2:h0D4>4$80901723->m576:8:k;5?6=,>?n6564;n66=?6=,>?n697k;:a0f7=9381<7>t$4ag>1?f3A=?o6F:cg9'30c=;k1C5=5+9387=a=#ij02m:5a7729040<,=l265;7;h:2>5<#?5<#?>h7E;lf:&41`<4j2B2<6*62;6:`>"fk33j;6`8618752=#"3n003955f8083>!12m32376a;5883>!12m3>2h65rb5`f>4<5290;w);ld;6:e>N06d<@0:0(4<548f8 de=1h=0b:8?:53:?!2a132>46g71;29 23b21207b::9;29 23b2=3o76sm4cf95?4=83:p(8mk:5;b?M13k2B>ok5+74g97g=O191/5?4;9e9'ef<>i>1e;;>540c8 1`>21?37d6>:18'30c=0110c9;6:18'30c=<0n07pl;bb82>7<729q/9nj548c8L22d3A?hj6*85d80f>N>82.2>7:6d:&bg??f?2d<:=4;1c9'0c?=0<20e5?50;&41`oi4;9`9K31e<@c:&7b<?32e?944?:%56a?2>l21vnlo51;094?6|,N2ko1/;8k53c9K=5=#1;0?5i5+ab8:e2=i??:18=3?3`2:6=4+74g9<==i7=m;I;3?!?52=3o7)ol:8c4?k1183>:i6*;f88;1==n080;6)9:e;:;?>i3=00;6)9:e;6:`>=zjh21=7<50;2x 0ec2=3j7E9;c:J6gc=#?6290/;8k58998k13>290/;8k548f8?xdf?3;1>7>50z&6ga<31h1C;9m4H4ae?!12m39i7E7?;%;1>1?c3-kh64o8;o554?2582.?j447599j<4<72-=>i767;:m71<<72-=>i7:6d:9~fd0=9381<7>t$4ag>1?f3A=?o6F:cg9'30c=;k1C5=5+9387=a=#ij02m:5a7729077<,=l265;7;h:2>5<#?5<#?"3n003955f8083>!12m32376a;5883>!12m3>2h65rb`695?4=83:p(8mk:5;b?M13k2B>ok5+74g97g=O191/5?4;9e9'ef<>i>1e;;>54318 1`>21?37d6>:18'30c=0110c9;6:18'30c=<0n07pln3;396?6=8r.>oi4;9`9K31e<@?32e?944?:%56a?2>l21vn>8n:080>5<7s-?hh7l=;I57g>N2ko1/;8k54b18 de=1h=0(5m5309m336=<;?0(9h6:97;?l>5290/;8k5369m30e=821d88750;&41`<31m10e5=50;&41`<4?2d<9n4>;o7`f?6<3th8:i4>:283>5}#=jn1n?5G75a8L0ea3-=>i7:l3:&bg??f?2.3o7=>;o554?25>2.?j447599j<7<72-=>i7=8;o56g?6<3f>>57>5$67f>1?c32c3?7>5$67f>61?h6<5a5b`94>=zj8?36<4<:183!3dl3h97E9;c:J6gc=#?5<#?=h<<31<7*85d87=a=h0=j0:7c;lb;28?xd6=k0:6>4?:1y'1fb=j;1C;9m4H4ae?!12m3>h?6*nc;;b3>"?k39:7c990;61<>"3n003955f8383>!12m39<7c9:c;28?j2213:1(:;j:5;g?>o?;3:1(:;j:258j23d281e9nl50:9~f43c28086=4?{%7``?d53A=?o6F:cg9'30c=543;8 1`>21?37d6=:18'30c=;>1e;8m50:9l00?=83.<9h4;9e98m=5=83.<9h4<7:l41f<63g?hn7>4;|`21c<62:0;6=u+5bf9f7=O?=i0D8mi;%56a?2d;2.jo77n7:&;g?563g==<7:=a:&7b<o7>4;n66=?6=,>?n697k;:k;7?6=,>?n6>94n67`>4=i=jh1<65rb042>4<4290;w);ld;`1?M13k2B>ok5+74g90f5<,hi15l94$9a974=i??:18?l4$5d:>=3?3`296=4+74g972=i?6282>6<729q/9nj5b39K31e<@"fk33j;6*7c;12?k1183>9o6*;f88;1==n0;0;6)9:e;14?k12k3:07b::9;29 23b2=3o76g73;29 23b2:=0b:;l:09m1fd=821vn<8::080>5<7s-?hh7l=;I57g>N2ko1/;8k54b18 de=1h=0(5m5309m336=<;n0(9h6:97;?l>5290/;8k5369m30e=821d88750;&41`<31m10e5=50;&41`<4?2d<9n4>;o7`f?6<3th:;:4>:283>5}#=jn1n?5G75a8L0ea3-=>i7:l3:&bg??f?2.3o7=>;o554?25m2.?j447599j<7<72-=>i7=8;o56g?6<3f>>57>5$67f>1?c32c3?7>5$67f>61?h6<5a5b`94>=zj8=j6<4<:183!3dl3h97E9;c:J6gc=#?5<#?=h<<31<7*85d87=a=h0=j0:7c;lb;28?xd6?j0:6>4?:1y'1fb=j;1C;9m4H4ae?!12m3>h?6*nc;;b3>"?k39:7c990;604>"3n003955f8383>!12m39<7c9:c;28?j2213:1(:;j:5;g?>o?;3:1(:;j:258j23d281e9nl50:9~f41b28086=4?{%7``?d53A=?o6F:cg9'30c=54238 1`>21?37d6=:18'30c=;>1e;8m50:9l00?=83.<9h4;9e98m=5=83.<9h4<7:l41f<63g?hn7>4;|`2<5<62:0;6=u+5bf9f7=O?=i0D8mi;%56a?2d;2.jo77n7:&;g?563g==<7:<2:&7b<o7>4;n66=?6=,>?n697k;:k;7?6=,>?n6>94n67`>4=i=jh1<65rb0:1>4<4290;w);ld;`1?M13k2B>ok5+74g90f5<,hi15l94$9a974=i??:18>=4$5d:>=3?3`296=4+74g972=i?8582>6<729q/9nj5b39K31e<@"fk33j;6*7c;12?k1183>886*;f88;1==n0;0;6)9:e;14?k12k3:07b::9;29 23b2=3o76g73;29 23b2:=0b:;l:09m1fd=821vn>6i:080>5<7s-?hh7l=;I57g>N2ko1/;8k54b18 de=1h=0(5m5309m336=<:?0(9h6:97;?l>5290/;8k5369m30e=821d88750;&41`<31m10e5=50;&41`<4?2d<9n4>;o7`f?6<3th85?4>:283>5}#=jn1n?5G75a8L0ea3-=>i7:l3:&bg??f?2.3o7=>;o554?24>2.?j447599j<7<72-=>i7=8;o56g?6<3f>>57>5$67f>1?c32c3?7>5$67f>61?h6<5a5b`94>=zjj31=7=50;2x 0ec2k80D::l;I7`b>"0=l0?o>5+ab8:e2=#0j08=6`8618772=#i3=00;6)9:e;6:`>=n0:0;6)9:e;14?k12k3;0b8mm:198ygee28086=4?{%7``?d53A=?o6F:cg9'30c=542:8 1`>21?37d6=:18'30c=;>1e;8m50:9l00?=83.<9h4;9e98m=5=83.<9h4<7:l41f<63g?hn7>4;|`fe?7=;3:1N01e43-kh64o8;%:`>67<;69=6;%6e=?>202c3>7>5$67f>61?h6=54o57:>5<#?5<#?h2kk0;76sm3c695?5=83:p(8mk:c08L22d3A?hj6*85d87g6=#ij02m:5+8b805>h0>90??l5+4g;9<0>h0=j0;76a;5883>!12m3>2h65f8283>!12m39<7c9:c;38j0ee2910qo=m7;397?6=8r.>oi4m2:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:238j2072=9i7):i9;:6<>o?:3:1(:;j:258j23d2910c9;6:18'30c=<0n07d6<:18'30c=;>1e;8m51:l6gg<732wi=4851;194?6|,i>1/4n4<1:l425<3;j1/8k7584:8m=4=83.<9h4<7:l41f<732e?944?:%56a?2>l21b4>4?:%56a?503g=>o7?4n4aa>5=6F84b9K1f`<,>?n69m<;%c`>?4n643>15c3->m576:8:k;6?6=,>?n6>94n67`>5={e?o<1=7=50;2x 0ec2k90D::l;I7`b>"0=l0?o>5+ab8:e2=#0j0:j6`861877`=#o?;3:1(:;j:258j23d2810c9;6:18'30c=<0n07pl>5282>1<729q/9nj5b79K31e<@"fk33j;6*7c;07?k1183>8j6*;f88;1==n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9j<1<72-=>i7=8;o56g?4<3f>>57>5$67f>1?c32wi=8;51;794?6|,i>1/4n4=5:l425<3<91/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54i9694?"0=l08;6`85b81?>o?=3:1(:;j:258j23d2:10c9;6:18'30c=<0n07pl8fc82>6<729q/9nj5b29K31e<@"fk33j;6*7c;0f?k1183>?=6*;f88;1==n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9l00?=83.<9h4;9e98yg5c;3;1?7>50z&6gad2:;0b:8?:561?!2a132>46g72;29 23b2:=0b:;l:198k13>290/;8k548f8?l>4290/;8k5369m30e=92d>oo4?;:a7a0=9391<7>t$4ag>g4<@>>h7E;lf:&41`<3k:1/mn46a69'i7:6d:9j<6<72-=>i7=8;o56g?7N01e43-kh64o8;%:`>67<;69:;;%6e=?>202c3>7>5$67f>61?h6=54o57:>5<#?5<#?h2kk0;76sm1c095?5=83:p(8mk:c08L22d3A?hj6*85d87g6=#ij02m:5+8b805>h0>90?885+4g;9<0>h0=j0;76a;5883>!12m3>2h65f8283>!12m39<7c9:c;38j0ee2910qo9j5;397?6=8r.>oi4m3:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:0d8j2072=>=7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:m71<<72-=>i7:6d:9~f42a280?6=4?{%7``?d13A=?o6F:cg9'30c=54558 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3`2?6=4+74g972=i?65`44;94?"0=l0?5i54}c365?7==3:1N01e43-kh64o8;%:`>73<;69:7;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>o?<3:1(:;j:258j23d2;10e5;50;&41`<4?2d<9n4<;:m71<<72-=>i7:6d:9~f2cf28086=4?{%7``?d43A=?o6F:cg9'30c=545;8 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3f>>57>5$67f>1?c32wi>io51;194?6|,i>1/4n4<1:l425<3

l21b4>4?:%56a?503g=>o7?4n4aa>5=6F84b9K1f`<,>?n69m<;%c`>?4n643>12e3->m576:8:k;6?6=,>?n6>94n67`>5={e=:=1=7=50;2x 0ec2k80D::l;I7`b>"0=l0?o>5+ab8:e2=#0j08=6`861870f=#i3=00;6)9:e;6:`>=n0:0;6)9:e;14?k12k3;0b8mm:198yg34;3;1?7>50z&6gad2:;0b:8?:56g?!2a13>mj6g72;29 23b2:=0b:;l:198k13>290/;8k548f8?l>4290/;8k5369m30e=92d>oo4?;:a246=9391<7>t$4ag>g4<@>>h7E;lf:&41`<3k:1/mn46a69'i7:6d:9j<6<72-=>i7=8;o56g?7N01e43-kh64o8;%:`>67<;69:i;%6e=?3c92c3>7>5$67f>61?h6=54o57:>5<#?5<#?h2kk0;76sm61a95?5=83:p(8mk:c08L22d3A?hj6*85d87g6=#ij02m:5+8b805>h0>90?9=5+4g;91a7h0=j0;76a;5883>!12m3>2h65f8283>!12m39<7c9:c;38j0ee2910qo8?a;397?6=8r.>oi4m2:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:238j2072=?:7):i9;7g5>o?:3:1(:;j:258j23d2910c9;6:18'30c=<0n07d6<:18'30c=;>1e;8m51:l6gg<732wi:=651;194?6|,i>1/4n4<1:l425<3=;1/8k755e38m=4=83.<9h4<7:l41f<732e?944?:%56a?2>l21b4>4?:%56a?503g=>o7?4n4aa>5=6F84b9K1f`<,>?n69m<;%c`>?4n643>1343->m57;k1:k;6?6=,>?n6>94n67`>5={e>9>1=7=50;2x 0ec2k80D::l;I7`b>"0=l0?o>5+ab8:e2=#0j08=6`8618711=#i3=00;6)9:e;6:`>=n0:0;6)9:e;14?k12k3;0b8mm:198yg07:3;1?7>50z&6gad2:;0b:8?:576?!2a13?o=6g72;29 23b2:=0b:;l:198k13>290/;8k548f8?l>4290/;8k5369m30e=92d>oo4?;:a1c5=9391<7>t$4ag>g4<@>>h7E;lf:&41`<3k:1/mn46a69'i7:6d:9j<6<72-=>i7=8;o56g?7N01e43-kh64o8;%:`>67<;69;8;%6e=?3c92c3>7>5$67f>61?h6=54o57:>5<#?5<#?h2kk0;76sm5dd95?5=83:p(8mk:c08L22d3A?hj6*85d87g6=#ij02m:5+8b805>h0>90?955+4g;91a7h0=j0;76a;5883>!12m3>2h65f8283>!12m39<7c9:c;38j0ee2910qo;jd;397?6=8r.>oi4m2:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:238j2072=?27):i9;7g5>o?:3:1(:;j:258j23d2910c9;6:18'30c=<0n07d6<:18'30c=;>1e;8m51:l6gg<732wi9hl51;194?6|,i>1/4n4<1:l425<3=h1/8k755e38m=4=83.<9h4<7:l41f<732e?944?:%56a?2>l21b4>4?:%56a?503g=>o7?4n4aa>5=6F84b9K1f`<,>?n69m<;%c`>?4n643>13e3->m57;k1:k;6?6=,>?n6>94n67`>5={e=l=1=7=50;2x 0ec2k80D::l;I7`b>"0=l0?o>5+ab8:e2=#0j08=6`861871f=#i3=00;6)9:e;6:`>=n0:0;6)9:e;14?k12k3;0b8mm:198yg3b=3;1?7>50z&6gad2:;0b:8?:57g?!2a13?o=6g72;29 23b2:=0b:;l:198k13>290/;8k548f8?l>4290/;8k5369m30e=92d>oo4?;:a21d=9391<7>t$4ag>g4<@>>h7E;lf:&41`<3k:1/mn46a69'i7:6d:9j<6<72-=>i7=8;o56g?7N01e43-kh64o8;%:`>67<;69;i;%6e=?3c92c3>7>5$67f>61?h6=54o57:>5<#?5<#?h2kk0;76sm65595?5=83:p(8mk:c08L22d3A?hj6*85d87g6=#ij02m:5+8b805>h0>90?:=5+4g;91a7h0=j0;76a;5883>!12m3>2h65f8283>!12m39<7c9:c;38j0ee2910qo8;5;397?6=8r.>oi4m2:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:238j2072=<:7):i9;7g5>o?:3:1(:;j:258j23d2910c9;6:18'30c=<0n07d6<:18'30c=;>1e;8m51:l6gg<732wi:9=51;194?6|,i>1/4n4<1:l425<3>;1/8k755e38m=4=83.<9h4<7:l41f<732e?944?:%56a?2>l21b4>4?:%56a?503g=>o7?4n4aa>5=6F84b9K1f`<,>?n69m<;%c`>?4n643>1043->m57;k1:k;6?6=,>?n6>94n67`>5={e>:l1=7=50;2x 0ec2k80D::l;I7`b>"0=l0?o>5+ab8:e2=#0j08=6`8618721=#i3=00;6)9:e;6:`>=n0:0;6)9:e;14?k12k3;0b8mm:198yg04l3;1?7>50z&6gad2:;0b:8?:546?!2a13?o=6g72;29 23b2:=0b:;l:198k13>290/;8k548f8?l>4290/;8k5369m30e=92d>oo4?;:a27b=9391<7>t$4ag>g4<@>>h7E;lf:&41`<3k:1/mn46a69'i7:6d:9j<6<72-=>i7=8;o56g?7N01e43-kh64o8;%:`>67<;6988;%6e=?3c92c3>7>5$67f>61?h6=54o57:>5<#?5<#?h2kk0;76sm63;95?5=83:p(8mk:c08L22d3A?hj6*85d87g6=#ij02m:5+8b805>h0>90?:55+4g;91a7h0=j0;76a;5883>!12m3>2h65f8283>!12m39<7c9:c;38j0ee2910qo8=7;397?6=8r.>oi4m2:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:238j2072=<27):i9;7g5>o?:3:1(:;j:258j23d2910c9;6:18'30c=<0n07d6<:18'30c=;>1e;8m51:l6gg<732wi:?;51;194?6|,i>1/4n4<1:l425<3>h1/8k755e38m=4=83.<9h4<7:l41f<732e?944?:%56a?2>l21b4>4?:%56a?503g=>o7?4n4aa>5=6F84b9K1f`<,>?n69m<;%c`>?4n643>10e3->m57;k1:k;6?6=,>?n6>94n67`>5={e>;;1=7=50;2x 0ec2k80D::l;I7`b>"0=l0?o>5+ab8:e2=#0j08=6`861872f=#i3=00;6)9:e;6:`>=n0:0;6)9:e;14?k12k3;0b8mm:198yg06n3;1?7>50z&6gad2:;0b:8?:54g?!2a13?o=6g72;29 23b2:=0b:;l:198k13>290/;8k548f8?l>4290/;8k5369m30e=92d>oo4?;:a22`=9391<7>t$4ag>g4<@>>h7E;lf:&41`<3k:1/mn46a69'i7:6d:9j<6<72-=>i7=8;o56g?7N01e43-kh64o8;%:`>67<;698i;%6e=?3c92c3>7>5$67f>61?h6=54o57:>5<#?5<#?h2kk0;76sm67095?5=83:p(8mk:c08L22d3A?hj6*85d87g6=#ij02m:5+8b805>h0>90?;=5+4g;91a7h0=j0;76a;5883>!12m3>2h65f8283>!12m39<7c9:c;38j0ee2910qo8:e;397?6=8r.>oi4m2:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:238j2072==:7):i9;7g5>o?:3:1(:;j:258j23d2910c9;6:18'30c=<0n07d6<:18'30c=;>1e;8m51:l6gg<732wi:8o51;194?6|,i>1/4n4<1:l425<3?;1/8k755e38m=4=83.<9h4<7:l41f<732e?944?:%56a?2>l21b4>4?:%56a?503g=>o7?4n4aa>5=6F84b9K1f`<,>?n69m<;%c`>?4n643>1143->m576:8:k;6?6=,>?n6>94n67`>5={e91k1=7=50;2x 0ec2k80D::l;I7`b>"0=l0?o>5+ab8:e2=#0j08=6`8618731=#i3=00;6)9:e;6:`>=n0:0;6)9:e;14?k12k3;0b8mm:198yg1bl3;187>50z&6ga2B<8n5G5bd8 23b2=i87)ol:8c4?!>d2:90b:8?:556?!2a132>46g72;29 23b2:=0b:;l:198m=5=83.<9h4<7:l41f<632c387>5$67f>61?h6?54o57:>5<#?o7>4;h:0>5<#?=n0=0;6)9:e;14?k12k3807b::9;29 23b2=3o76sm64:95?5=83:p(8mk:c08L22d3A?hj6*85d87g6=#ij02m:5+8b805>h0>90?;:5+4g;91a7h0=j0;76a;5883>!12m3>2h65f8283>!12m39<7c9:c;38j0ee2910qo8oi4m2:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:238j2072==37):i9;7g5>o?:3:1(:;j:258j23d2910c9;6:18'30c=<0n07d6<:18'30c=;>1e;8m51:l6gg<732wi?>751;194?6|,i>1/4n4:4:l425<3?01/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54o57:>5<#?o7>4;h:0>5<#?=h<<31<7*85d87=a=?n69m<;%c`>11e3->m576:8:k;6?6=,>?n6>94n67`>5=h0=j0:76a;5883>!12m3>2h65rb21e>4<4290;w);ld;`0?M13k2B>ok5+74g90f5<,hi15l94$9a911=i??:18:m4$5d:>=3?3`296=4+74g972=i?!12m39<7c9:c;38?j2213:1(:;j:5;g?>{e;l<1=7;50;2x 0ec2k20D::l;I7`b>"0=l0?o>5+ab8:e2=#0j0:m6`861873a=#o?;3:1(:;j:258j23d2810e5:50;&41`<4?2d<9n4=;:k;1?6=,>?n6>94n67`>6=:483>5}#=jn1n55G75a8L0ea3-=>i7:l3:&bg??f?2.3o7?k;o554?20m2.?j447599j<7<72-=>i7=8;o56g?6<3`286=4+74g972=i?!12m39<7c9:c;08?l>2290/;8k5369m30e=;21d88750;&41`<31m10qo=l7;391?6=8r.>oi4m8:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:0c8j2072==m7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:k;0?6=,>?n6>94n67`>7=h0=j0876a;5883>!12m3>2h65rb8;4>4<2290;w);ld;`;?M13k2B>ok5+74g90f5<,hi15l94$9a922=i??:185>4$5d:>=3?3`296=4+74g972=i?!12m39<7c9:c;38?l>3290/;8k5369m30e=:21b484?:%56a?503g=>o7=4;n66=?6=,>?n697k;:a==b=9391<7>t$4ag>g5<@>>h7E;lf:&41`<3k:1/mn46a69'2d<:=4;809'0c?=0<20e5<50;&41`<4?2d<9n4?;:k;7?6=,>?n6>94n67`>4=:483>5}#=jn1n55G75a8L0ea3-=>i7:l3:&bg??f?2.3o7?n;o554?2?:2.?j447599j<7<72-=>i7=8;o56g?6<3`286=4+74g972=i?!12m39<7c9:c;08?l>2290/;8k5369m30e=;21d88750;&41`<31m10qo=j4;390?6=8r.>oi4m6:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:0a8j2072=287):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:k;0?6=,>?n6>94n67`>7=4>:283>5}#=jn1n>5G75a8L0ea3-=>i7:l3:&bg??f?2.3o7?i;o554?2?<2.?j447599j<7<72-=>i7=8;o56g?6<3`286=4+74g972=i?N01e43-kh64o8;%:`>43<;696:;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>o?<3:1(:;j:258j23d2;10e5;50;&41`<4?2d<9n4<;:m71<<72-=>i7:6d:9~f6da280>6=4?{%7``?d?3A=?o6F:cg9'30c=54948 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3`2?6=4+74g972=i?65f8483>!12m39<7c9:c;18?j2213:1(:;j:5;g?>{e18i1=7:50;2x 0ec2k<0D::l;I7`b>"0=l0?o>5+ab8:e2=#0j0i7c990;6;3>"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921b494?:%56a?503g=>o7<4;n66=?6=,>?n697k;:a=4g=93>1<7>t$4ag>g0<@>>h7E;lf:&41`<3k:1/mn46a69'o7>4;h:0>5<#?=n0=0;6)9:e;14?k12k3807b::9;29 23b2=3o76sm90:95?2=83:p(8mk:c48L22d3A?hj6*85d87g6=#ij02m:5+8b8a?k1183>356*;f88;1==n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9j<1<72-=>i7=8;o56g?4<3f>>57>5$67f>1?c32wi5<851;694?6|,i>1/4n4m;o554?2?i2.?j447599j<7<72-=>i7=8;o56g?6<3`286=4+74g972=i?!12m39<7c9:c;08?j2213:1(:;j:5;g?>{e;j<1=7;50;2x 0ec2k20D::l;I7`b>"0=l0?o>5+ab8:e2=#0j0:m6`86187o?;3:1(:;j:258j23d2810e5:50;&41`<4?2d<9n4=;:k;1?6=,>?n6>94n67`>6=:583>5}#=jn1n;5G75a8L0ea3-=>i7:l3:&bg??f?2.3o7?l;o554?2?k2.?j447599j<7<72-=>i7=8;o56g?6<3`286=4+74g972=i?!12m39<7c9:c;08?j2213:1(:;j:5;g?>{e;j>1=7=50;2x 0ec2k90D::l;I7`b>"0=l0?o>5+ab8:e2=#0j0:j6`86187o?;3:1(:;j:258j23d2810c9;6:18'30c=<0n07pl61582>1<729q/9nj5b79K31e<@"fk33j;6*7c;`8j2072=2n7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:k;0?6=,>?n6>94n67`>7=:583>5}#=jn1n;5G75a8L0ea3-=>i7:l3:&bg??f?2.3o7l4n643>1>a3->m576:8:k;6?6=,>?n6>94n67`>5=h0=j0:76g74;29 23b2:=0b:;l:398k13>290/;8k548f8?xd>990:694?:1y'1fb=j?1C;9m4H4ae?!12m3>h?6*nc;;b3>"?k3;?7c990;6:4>"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921b494?:%56a?503g=>o7<4;n66=?6=,>?n697k;:a=5c=93>1<7>t$4ag>g0<@>>h7E;lf:&41`<3k:1/mn46a69'?n6>94n67`>4=1<7*85d803>h0=j0976a;5883>!12m3>2h65rb9g0>4<2290;w);ld;`;?M13k2B>ok5+74g90f5<,hi15l94$9a90>h0>90?5?5+4g;9<0>h0=j0;76g73;29 23b2:=0b:;l:098m=2=83.<9h4<7:l41f<532c397>5$67f>61?h6>54o57:>5<#?o7>4;h:0>5<#?=n0=0;6)9:e;14?k12k3807d6::18'30c=;>1e;8m53:9l00?=83.<9h4;9e98yg54?3;197>50z&6gad2?o0b:8?:5;7?!2a132>46g72;29 23b2:=0b:;l:198m=5=83.<9h4<7:l41f<632c387>5$67f>61?h6?54i9794?"0=l08;6`85b80?>i3=00;6)9:e;6:`>=zj1l<6<4::183!3dl3h37E9;c:J6gc=#?o?;3:1(:;j:258j23d2810e5:50;&41`<4?2d<9n4=;:k;1?6=,>?n6>94n67`>6=:483>5}#=jn1n55G75a8L0ea3-=>i7:l3:&bg??f?2.3o7:4n643>1?13->m576:8:k;6?6=,>?n6>94n67`>5=h0=j0:76g74;29 23b2:=0b:;l:398m=3=83.<9h4<7:l41f<432e?944?:%56a?2>l21vn5hn:086>5<7s-?hh7l7;I57g>N2ko1/;8k54b18 de=1h=0(5m54:l425<31>1/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54i9694?"0=l08;6`85b81?>o?=3:1(:;j:258j23d2:10c9;6:18'30c=<0n07pl7fb82>0<729q/9nj5b99K31e<@"fk33j;6*7c;68j2072=337):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:k;0?6=,>?n6>94n67`>7=h0=j0876a;5883>!12m3>2h65rb9df>4<2290;w);ld;`;?M13k2B>ok5+74g90f5<,hi15l94$9a90>h0>90?545+4g;9<0>h0=j0;76g73;29 23b2:=0b:;l:098m=2=83.<9h4<7:l41f<532c397>5$67f>61?h6>54o57:>5<#?<;697n;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>o?<3:1(:;j:258j23d2;10e5;50;&41`<4?2d<9n4<;:m71<<72-=>i7:6d:9~f<65280>6=4?{%7``?d?3A=?o6F:cg9'30c=?n6>94n67`>4=1<7*85d803>h0=j0976g75;29 23b2:=0b:;l:298k13>290/;8k548f8?xd>8=0:684?:1y'1fb=j11C;9m4H4ae?!12m3>h?6*nc;;b3>"?k3>0b:8?:5;`?!2a132>46g72;29 23b2:=0b:;l:198m=5=83.<9h4<7:l41f<632c387>5$67f>61?h6?54i9794?"0=l08;6`85b80?>i3=00;6)9:e;6:`>=zj:936<4::183!3dl3h37E9;c:J6gc=#?5<#?=n0:0;6)9:e;14?k12k3;07d6;:18'30c=;>1e;8m52:9j<0<72-=>i7=8;o56g?5<3f>>57>5$67f>1?c32wi?>o51;794?6|,i>1/4n49e:l425<31l1/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54i9694?"0=l08;6`85b81?>o?=3:1(:;j:258j23d2:10c9;6:18'30c=<0n07pl<3b82>0<729q/9nj5b99K31e<@"fk33j;6*7c;4f?k1183>2j6*;f88;1==n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9j<1<72-=>i7=8;o56g?4<3`2>6=4+74g972=i?N01e43-kh64o8;%:`>3c<;69o?;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>o?<3:1(:;j:258j23d2;10e5;50;&41`<4?2d<9n4<;:m71<<72-=>i7:6d:9~f=66280?6=4?{%7``?d13A=?o6F:cg9'30c=54`38 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3`2?6=4+74g972=i?65`44;94?"0=l0?5i54}c;:g?7==3:1N01e43-kh64o8;%:`>0b<;69o=;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>o?<3:1(:;j:258j23d2;10e5;50;&41`<4?2d<9n4<;:m71<<72-=>i7:6d:9~f<6d280?6=4?{%7``?d13A=?o6F:cg9'30c=54`18 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3`2?6=4+74g972=i?65`44;94?"0=l0?5i54}c;1a?7=<3:1N01e43-kh64o8;%:`>42<;69o;;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>o?<3:1(:;j:258j23d2;10c9;6:18'30c=<0n07pl62b82>1<729q/9nj5b79K31e<@"fk33j;6*7c;37?k1183>j96*;f88;1==n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9j<1<72-=>i7=8;o56g?4<3f>>57>5$67f>1?c32wi5?o51;694?6|,i>1/4n4>4:l425<3i?1/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54i9694?"0=l08;6`85b81?>i3=00;6)9:e;6:`>=zj0836<4;:183!3dl3h=7E9;c:J6gc=#?5<#?=n0:0;6)9:e;14?k12k3;07d6;:18'30c=;>1e;8m52:9l00?=83.<9h4;9e98yg?5>3;187>50z&6ga2B<8n5G5bd8 23b2=i87)ol:8c4?!>d28>0b:8?:5c;?!2a132>46g72;29 23b2:=0b:;l:198m=5=83.<9h4<7:l41f<632c387>5$67f>61?h6?54o57:>5<#?o7>4;h:0>5<#?=n0=0;6)9:e;14?k12k3807b::9;29 23b2=3o76sm93095?2=83:p(8mk:c48L22d3A?hj6*85d87g6=#ij02m:5+8b820>h0>90?ml5+4g;9<0>h0=j0;76g73;29 23b2:=0b:;l:098m=2=83.<9h4<7:l41f<532e?944?:%56a?2>l21vn45<7s-?hh7l9;I57g>N2ko1/;8k54b18 de=1h=0(5m5159m336=5290/;8k5369m30e=821b4>4?:%56a?503g=>o7?4;h:7>5<#?=h<<31<7*85d87=a=?n69m<;%c`>5<#?=n0:0;6)9:e;14?k12k3;07d6;:18'30c=;>1e;8m52:9j<0<72-=>i7=8;o56g?5<3f>>57>5$67f>1?c32wi54j51;794?6|,i>1/4n495:l425<3im1/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54i9694?"0=l08;6`85b81?>o?=3:1(:;j:258j23d2:10c9;6:18'30c=<0n07pl7cb82>0<729q/9nj5b99K31e<@"fk33j;6*7c;45?k1183>ji6*;f88;1==n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9j<1<72-=>i7=8;o56g?4<3`2>6=4+74g972=i?N01e43-kh64o8;%:`>30<;69oi;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>o?<3:1(:;j:258j23d2;10e5;50;&41`<4?2d<9n4<;:m71<<72-=>i7:6d:9~f=bb280>6=4?{%7``?d?3A=?o6F:cg9'30c=?1e;;>54c28 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3`2?6=4+74g972=i?65f8483>!12m39<7c9:c;18?j2213:1(:;j:5;g?>{e0ml1=7;50;2x 0ec2k20D::l;I7`b>"0=l0?o>5+ab8:e2=#0j0=:6`86187f4=#o?;3:1(:;j:258j23d2810e5:50;&41`<4?2d<9n4=;:k;1?6=,>?n6>94n67`>6=:483>5}#=jn1n55G75a8L0ea3-=>i7:l3:&bg??f?2.3o789;o554?2e:2.?j447599j<7<72-=>i7=8;o56g?6<3`286=4+74g972=i?!12m39<7c9:c;08?l>2290/;8k5369m30e=;21d88750;&41`<31m10qo6j1;391?6=8r.>oi4m8:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:748j2072=h87):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:k;0?6=,>?n6>94n67`>7=h0=j0876a;5883>!12m3>2h65rb9g1>4<2290;w);ld;`;?M13k2B>ok5+74g90f5<,hi15l94$9a923=i??:18o:4$5d:>=3?3`296=4+74g972=i?!12m39<7c9:c;38?l>3290/;8k5369m30e=:21b484?:%56a?503g=>o7=4;n66=?6=,>?n697k;:at$4ag>g><@>>h7E;lf:&41`<3k:1/mn46a69'2d<:=4;b49'0c?=0<20e5<50;&41`<4?2d<9n4?;:k;7?6=,>?n6>94n67`>4=1<7*85d803>h0=j0976g75;29 23b2:=0b:;l:298k13>290/;8k548f8?xd?kl0:684?:1y'1fb=j11C;9m4H4ae?!12m3>h?6*nc;;b3>"?k3<=7c990;6a2>"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921b494?:%56a?503g=>o7<4;h:6>5<#?=h<<31<7*85d87=a=?n69m<;%c`>1d03->m576:8:k;6?6=,>?n6>94n67`>5=h0=j0:76g74;29 23b2:=0b:;l:398m=3=83.<9h4<7:l41f<432e?944?:%56a?2>l21vn5j?:086>5<7s-?hh7l7;I57g>N2ko1/;8k54b18 de=1h=0(5m5679m336=5290/;8k5369m30e=821b4>4?:%56a?503g=>o7?4;h:7>5<#?=n0<0;6)9:e;14?k12k3907b::9;29 23b2=3o76sm8e395?3=83:p(8mk:c:8L22d3A?hj6*85d87g6=#ij02m:5+8b852>h0>90?n45+4g;9<0>h0=j0;76g73;29 23b2:=0b:;l:098m=2=83.<9h4<7:l41f<532c397>5$67f>61?h6>54o57:>5<#?<;69ln;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>o?<3:1(:;j:258j23d2;10e5;50;&41`<4?2d<9n4<;:m71<<72-=>i7:6d:9~f<6?280>6=4?{%7``?d?3A=?o6F:cg9'30c=?n6>94n67`>4=1<7*85d803>h0=j0976g75;29 23b2:=0b:;l:298k13>290/;8k548f8?xd?m<0:684?:1y'1fb=j11C;9m4H4ae?!12m3>h?6*nc;;b3>"?k3>0b:8?:5``?!2a132>46g72;29 23b2:=0b:;l:198m=5=83.<9h4<7:l41f<632c387>5$67f>61?h6?54i9794?"0=l08;6`85b80?>i3=00;6)9:e;6:`>=zj1o<6<4::183!3dl3h37E9;c:J6gc=#?o?;3:1(:;j:258j23d2810e5:50;&41`<4?2d<9n4=;:k;1?6=,>?n6>94n67`>6=:483>5}#=jn1n55G75a8L0ea3-=>i7:l3:&bg??f?2.3o7:4n643>1db3->m576:8:k;6?6=,>?n6>94n67`>5=h0=j0:76g74;29 23b2:=0b:;l:398m=3=83.<9h4<7:l41f<432e?944?:%56a?2>l21vn5km:086>5<7s-?hh7l7;I57g>N2ko1/;8k54b18 de=1h=0(5m54:l425<3jo1/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54i9694?"0=l08;6`85b81?>o?=3:1(:;j:258j23d2:10c9;6:18'30c=<0n07pl7ee82>0<729q/9nj5b99K31e<@"fk33j;6*7c;68j2072=i;7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:k;0?6=,>?n6>94n67`>7=h0=j0876a;5883>!12m3>2h65rb9ge>4<2290;w);ld;`;?M13k2B>ok5+74g90f5<,hi15l94$9a90>h0>90?o<5+4g;9<0>h0=j0;76g73;29 23b2:=0b:;l:098m=2=83.<9h4<7:l41f<532c397>5$67f>61?h6>54o57:>5<#?<;69m=;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>o?<3:1(:;j:258j23d2;10e5;50;&41`<4?2d<9n4<;:m71<<72-=>i7:6d:9~f<7b280?6=4?{%7``?d13A=?o6F:cg9'30c=54b18 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3`2?6=4+74g972=i?65`44;94?"0=l0?5i54}c;3e?7=<3:1N01e43-kh64o8;%:`>42<;69m;;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>o?<3:1(:;j:258j23d2;10c9;6:18'30c=<0n07pli7;390?6=8r.>oi4m6:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:3d8j2072=i>7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:k;0?6=,>?n6>94n67`>7=?n69m<;%c`>1e13->m576:8:k;6?6=,>?n6>94n67`>5=h0=j0:76g74;29 23b2:=0b:;l:398k13>290/;8k548f8?xd?l;0:684?:1y'1fb=j11C;9m4H4ae?!12m3>h?6*nc;;b3>"?k3"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921b494?:%56a?503g=>o7<4;h:6>5<#?=h<<31<7*85d87=a=?n69m<;%c`>1e?3->m576:8:k;6?6=,>?n6>94n67`>5=h0=j0:76g74;29 23b2:=0b:;l:398m=3=83.<9h4<7:l41f<432e?944?:%56a?2>l21vn5j;:086>5<7s-?hh7l7;I57g>N2ko1/;8k54b18 de=1h=0(5m56c9m336=5290/;8k5369m30e=821b4>4?:%56a?503g=>o7?4;h:7>5<#?=n0<0;6)9:e;14?k12k3907b::9;29 23b2=3o76sm8e795?3=83:p(8mk:c:8L22d3A?hj6*85d87g6=#ij02m:5+8b85f>h0>90?ol5+4g;9<0>h0=j0;76g73;29 23b2:=0b:;l:098m=2=83.<9h4<7:l41f<532c397>5$67f>61?h6>54o57:>5<#?o7>4;h:0>5<#?=n0=0;6)9:e;14?k12k3807d6::18'30c=;>1e;8m53:9l00?=83.<9h4;9e98yg>c03;197>50z&6gad2?h0b:8?:5a`?!2a132>46g72;29 23b2:=0b:;l:198m=5=83.<9h4<7:l41f<632c387>5$67f>61?h6?54i9794?"0=l08;6`85b80?>i3=00;6)9:e;6:`>=zj1n26<4::183!3dl3h37E9;c:J6gc=#?5<#?=n0:0;6)9:e;14?k12k3;07d6;:18'30c=;>1e;8m52:9j<0<72-=>i7=8;o56g?5<3f>>57>5$67f>1?c32wi4io51;794?6|,i>1/4n49b:l425<3kl1/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54i9694?"0=l08;6`85b81?>o?=3:1(:;j:258j23d2:10c9;6:18'30c=<0n07pl7dc82>0<729q/9nj5b99K31e<@"fk33j;6*7c;4a?k1183>hj6*;f88;1==n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9j<1<72-=>i7=8;o56g?4<3`2>6=4+74g972=i?N01e43-kh64o8;%:`>1=i??:18i>4$5d:>=3?3`296=4+74g972=i?!12m39<7c9:c;38?l>3290/;8k5369m30e=:21b484?:%56a?503g=>o7=4;n66=?6=,>?n697k;:at$4ag>g><@>>h7E;lf:&41`<3k:1/mn46a69'2d<:=4;d09'0c?=0<20e5<50;&41`<4?2d<9n4?;:k;7?6=,>?n6>94n67`>4=1<7*85d803>h0=j0976g75;29 23b2:=0b:;l:298k13>290/;8k548f8?xd?lm0:6>4?:1y'1fb=j:1C;9m4H4ae?!12m3>h?6*nc;;b3>"?k3>m7c990;6g6>"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921d88750;&41`<31m10qo6i5;391?6=8r.>oi4m8:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:59m336=5290/;8k5369m30e=821b4>4?:%56a?503g=>o7?4;h:7>5<#?=n0<0;6)9:e;14?k12k3907b::9;29 23b2=3o76smf882>1<729q/9nj5b79K31e<@"fk33j;6*7c;0e?k1183>o86*;f88;1==n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9j<1<72-=>i7=8;o56g?4<3f>>57>5$67f>1?c32wijl4>:583>5}#=jn1n;5G75a8L0ea3-=>i7:l3:&bg??f?2.3o7i7=8;o56g?6<3`286=4+74g972=i?!12m39<7c9:c;08?j2213:1(:;j:5;g?>{enk0:694?:1y'1fb=j?1C;9m4H4ae?!12m3>h?6*nc;;b3>"?k38m7c990;6g2>"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921b494?:%56a?503g=>o7<4;n66=?6=,>?n697k;:abf<62=0;6=u+5bf9f3=O?=i0D8mi;%56a?2d;2.jo77n7:&;g?4a3g==<7:k7:&7b<o7>4;h:0>5<#?=n0=0;6)9:e;14?k12k3807b::9;29 23b2=3o76smfe82>1<729q/9nj5b79K31e<@"fk33j;6*7c;0e?k1183>o46*;f88;1==n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9j<1<72-=>i7=8;o56g?4<3f>>57>5$67f>1?c32wijh4>:583>5}#=jn1n;5G75a8L0ea3-=>i7:l3:&bg??f?2.3o7i7=8;o56g?6<3`286=4+74g972=i?!12m39<7c9:c;08?j2213:1(:;j:5;g?>{e9:>1=7=50;2x 0ec2k90D::l;I7`b>"0=l0?o>5+ab8:e2=#0j09i6`86187`d=#o?;3:1(:;j:258j23d2810c9;6:18'30c=<0n07pl>1582>6<729q/9nj5b29K31e<@"fk33j;6*7c;0f?k1183>on6*;f88;1==n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9l00?=83.<9h4;9e98yg74>3;1?7>50z&6gad2;o0b:8?:5f`?!2a132>46g72;29 23b2:=0b:;l:198m=5=83.<9h4<7:l41f<632e?944?:%56a?2>l21vn5<7s-?hh7l<;I57g>N2ko1/;8k54b18 de=1h=0(5m52d9m336=5290/;8k5369m30e=821b4>4?:%56a?503g=>o7?4;n66=?6=,>?n697k;:a561=9391<7>t$4ag>g5<@>>h7E;lf:&41`<3k:1/mn46a69'?n6>94n67`>4=:283>5}#=jn1n>5G75a8L0ea3-=>i7:l3:&bg??f?2.3o7?i;o554?2cn2.?j447599j<7<72-=>i7=8;o56g?6<3`286=4+74g972=i?N01e43-kh64o8;%:`>7c<;69k?;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>i3=00;6)9:e;6:`>=zj8;36<4<:183!3dl3h87E9;c:J6gc=#?h5a77290`7<,=l265;7;h:1>5<#?=n0:0;6)9:e;14?k12k3;07b::9;29 23b2=3o76sm12;95?5=83:p(8mk:c18L22d3A?hj6*85d87g6=#ij02m:5+8b81a>h0>90?i?5+4g;9<0>h0=j0;76g73;29 23b2:=0b:;l:098k13>290/;8k548f8?xd6900:6>4?:1y'1fb=j:1C;9m4H4ae?!12m3>h?6*nc;;b3>"?k38n7c990;6f7>"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921d88750;&41`<31m10qo?oi4m3:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:3g8j2072=o?7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:m71<<72-=>i7:6d:9~f47f28086=4?{%7``?d43A=?o6F:cg9'30c=54d78 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3f>>57>5$67f>1?c32wi=>l51;194?6|,i>1/4n4=e:l425<3m?1/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54o57:>5<#?o7>4;h:0>5<#?=h<<31<7*85d87=a=?n69m<;%c`>1c?3->m576:8:k;6?6=,>?n6>94n67`>5=h0=j0:76a;5883>!12m3>2h65rb03`>4<4290;w);ld;`0?M13k2B>ok5+74g90f5<,hi15l94$9a96`=i??:18h74$5d:>=3?3`296=4+74g972=i?!12m39<7c9:c;38?j2213:1(:;j:5;g?>{en=0:694?:1y'1fb=j?1C;9m4H4ae?!12m3>h?6*nc;;b3>"?k38m7c990;6fe>"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921b494?:%56a?503g=>o7<4;n66=?6=,>?n697k;:a14>=9391<7>t$4ag>g5<@>>h7E;lf:&41`<3k:1/mn46a69'?n6>94n67`>4=:483>5}#=jn1n55G75a8L0ea3-=>i7:l3:&bg??f?2.3o7==;o554?2bk2.?j44:d09j<7<72-=>i7=8;o56g?6<3`286=4+74g972=i?!12m39<7c9:c;08?l>2290/;8k5369m30e=;21d88750;&41`<31m10qo;k9;391?6=8r.>oi4m8:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:7f8j2072=oo7):i9;7g5>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:k;0?6=,>?n6>94n67`>7=h0=j0876a;5883>!12m3>2h65rb4f;>4<2290;w);ld;`;?M13k2B>ok5+74g90f5<,hi15l94$9a92a=i??:18hk4$5d:>0b63`296=4+74g972=i?!12m39<7c9:c;38?l>3290/;8k5369m30e=:21b484?:%56a?503g=>o7=4;n66=?6=,>?n697k;:a1ac=93?1<7>t$4ag>g><@>>h7E;lf:&41`<3k:1/mn46a69'o7>4;h:0>5<#?=n0=0;6)9:e;14?k12k3807d6::18'30c=;>1e;8m53:9l00?=83.<9h4;9e98yg0b13;197>50z&6gad2;h0b:8?:5d3?!2a13?o=6g72;29 23b2:=0b:;l:198m=5=83.<9h4<7:l41f<632c387>5$67f>61?h6?54i9794?"0=l08;6`85b80?>i3=00;6)9:e;6:`>=zj8ln6<4;:183!3dl3h=7E9;c:J6gc=#?k5a77290c7<,=l265;7;h:1>5<#?=n0:0;6)9:e;14?k12k3;07d6;:18'30c=;>1e;8m52:9l00?=83.<9h4;9e98yg7an3;197>50z&6gad2::0b:8?:5d1?!2a132>46g72;29 23b2:=0b:;l:198m=5=83.<9h4<7:l41f<632c387>5$67f>61?h6?54i9794?"0=l08;6`85b80?>i3=00;6)9:e;6:`>=zj1:h6<4::183!3dl3h37E9;c:J6gc=#?5<#?=n0:0;6)9:e;14?k12k3;07d6;:18'30c=;>1e;8m52:9j<0<72-=>i7=8;o56g?5<3f>>57>5$67f>1?c32wi;hh51;694?6|,i>1/4n4=0:l425<3n=1/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54i9694?"0=l08;6`85b81?>i3=00;6)9:e;6:`>=zj;;86<4;:183!3dl3h=7E9;c:J6gc=#?k5a77290c3<,=l265;7;h:1>5<#?=n0:0;6)9:e;14?k12k3;07d6;:18'30c=;>1e;8m52:9l00?=83.<9h4;9e98yg46<3;197>50z&6gad2::0b:8?:5d5?!2a132>46g72;29 23b2:=0b:;l:198m=5=83.<9h4<7:l41f<632c387>5$67f>61?h6?54i9794?"0=l08;6`85b80?>i3=00;6)9:e;6:`>=zj1:26<4::183!3dl3h37E9;c:J6gc=#?5<#?=n0:0;6)9:e;14?k12k3;07d6;:18'30c=;>1e;8m52:9j<0<72-=>i7=8;o56g?5<3f>>57>5$67f>1?c32wi;ik51;694?6|,i>1/4n4=0:l425<3n11/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54i9694?"0=l08;6`85b81?>i3=00;6)9:e;6:`>=zj18>6<4;:183!3dl3h=7E9;c:J6gc=#?45a77290c?<,=l265;7;h:1>5<#?=n0:0;6)9:e;14?k12k3;07d6;:18'30c=;>1e;8m52:9l00?=83.<9h4;9e98yg>5<3;197>50z&6gad2?1e;;>54gc8 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3`2?6=4+74g972=i?65f8483>!12m39<7c9:c;18?j2213:1(:;j:5;g?>{e08h1=7;50;2x 0ec2k20D::l;I7`b>"0=l0?o>5+ab8:e2=#0j09m6`86187bg=#o?;3:1(:;j:258j23d2810e5:50;&41`<4?2d<9n4=;:k;1?6=,>?n6>94n67`>6=:4>:483>5}#=jn1n55G75a8L0ea3-=>i7:l3:&bg??f?2.3o7i7=8;o56g?6<3`286=4+74g972=i?!12m39<7c9:c;08?l>2290/;8k5369m30e=;21d88750;&41`<31m10qo6=6;391?6=8r.>oi4m8:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:248j2072=lo7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:k;0?6=,>?n6>94n67`>7=h0=j0876a;5883>!12m3>2h65rb90;>4<2290;w);ld;`;?M13k2B>ok5+74g90f5<,hi15l94$9a973=i??:18kk4$5d:>=3?3`296=4+74g972=i?!12m39<7c9:c;38?l>3290/;8k5369m30e=:21b484?:%56a?503g=>o7=4;n66=?6=,>?n697k;:a56b=9391<7>t$4ag>g5<@>>h7E;lf:&41`<3k:1/mn46a69'?n6>94n67`>4=:283>5}#=jn1n>5G75a8L0ea3-=>i7:l3:&bg??f?2.3o7i7=8;o56g?6<3`286=4+74g972=i?N01e43-kh64o8;%:`>7c<;68>>;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>i3=00;6)9:e;6:`>=zj8;n6<4<:183!3dl3h87E9;c:J6gc=#?h5a7729154<,=l265;7;h:1>5<#?=n0:0;6)9:e;14?k12k3;07b::9;29 23b2=3o76sm12795?5=83:p(8mk:c18L22d3A?hj6*85d87g6=#ij02m:5+8b81a>h0>90><>5+4g;9<0>h0=j0;76g73;29 23b2:=0b:;l:098k13>290/;8k548f8?xd69<0:6>4?:1y'1fb=j:1C;9m4H4ae?!12m3>h?6*nc;;b3>"?k38n7c990;730>"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921d88750;&41`<31m10qo6?6;391?6=8r.>oi4m8:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:358j2072<:>7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:k;0?6=,>?n6>94n67`>7=h0=j0876a;5883>!12m3>2h65rb0dg>4<4290;w);ld;`0?M13k2B>ok5+74g90f5<,hi15l94$9a95c=i??:19=84$5d:>=3?3`296=4+74g972=i?!12m39<7c9:c;38?j2213:1(:;j:5;g?>{e:<;1=7=50;2x 0ec2k90D::l;I7`b>"0=l0?o>5+ab8:e2=#0j0:j6`8618642=#o?;3:1(:;j:258j23d2810c9;6:18'30c=<0n07pl=5382>1<729q/9nj5b79K31e<@"fk33j;6*7c;02?k1183?;46*;f88;1==n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9j<1<72-=>i7=8;o56g?4<3f>>57>5$67f>1?c32wi>8=51;794?6|,i>1/4n4=3:l425<2801/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54i9694?"0=l08;6`85b81?>o?=3:1(:;j:258j23d2:10c9;6:18'30c=<0n07pl=5482>1<729q/9nj5b79K31e<@"fk33j;6*7c;0e?k1183?;m6*;f88;1==n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9j<1<72-=>i7=8;o56g?4<3f>>57>5$67f>1?c32wi><<51;194?6|,i>1/4n4>f:l425<28k1/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54o57:>5<#?o7>4;h:0>5<#?=h<<31<7*85d87=a=?n69m<;%c`>06c3->m576:8:k;6?6=,>?n6>94n67`>5=h0=j0:76g74;29 23b2:=0b:;l:398k13>290/;8k548f8?xd5>10:684?:1y'1fb=j11C;9m4H4ae?!12m3>h?6*nc;;b3>"?k3887c990;73a>"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921b494?:%56a?503g=>o7<4;h:6>5<#?=h<<31<7*85d87=a=?n69m<;%c`>06a3->m576:8:k;6?6=,>?n6>94n67`>5=h0=j0:76g74;29 23b2:=0b:;l:398k13>290/;8k548f8?xd1jh0:684?:1y'1fb=j11C;9m4H4ae?!12m3>h?6*nc;;b3>"?k3;n7c990;724>"3n00>h<5f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921b494?:%56a?503g=>o7<4;h:6>5<#?=h<<31<7*85d87=a=6F84b9K1f`<,>?n69m<;%c`>?4n643>0763->m576:8:k;6?6=,>?n6>94n67`>5={e;m31=7=50;2x 0ec2k80D::l;I7`b>"0=l0?o>5+ab8:e2=#0j08=6`8618657=#i3=00;6)9:e;6:`>=n0:0;6)9:e;14?k12k3;0b8mm:198yg?0>3;1:7>50z&6ga<182B<8n5G5bd8 =e=m2.<9h498:J7f4=#ij02m:5a7729145<,=l265;7;h63>5<#?65f4g83>!12m32376a;ae83>!12m3>2h65f4`a94?"0=l0?j>54i5c94?"0=l0?o954}c:77?7=>3:1N0"0=l0=46F;b09'ef<>i>1e;;>55068 1`>21?37d:?:18'30c=<<10e9<50;&41`<3:21b8k4?:%56a?>?32e?mi4?:%56a?2>l21b8lm50;&41`<3n:10e9o50;&41`<3k=10qo9ie;391?6=8r.>oi4m8:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:078j2072<;>7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:k;0?6=,>?n6>94n67`>7=h0=j0876a;5883>!12m3>2h65rb4f7>4<5290;w);ld;1`?M13k2B>ok5+74g901=#ij02m:5a7729140<,=l268j>;h:2>4<#?4<#?N0<;68?8;%6e=?>202c3=7>5;n66=?6=3k2<6<4=:183!3dl32<7)9:e;67?!?52120D4>4$`a9=d1<;68?7;%6e=?>202c3=7>5$67f>=><3f>>57>5$67f>1?c32wi?n4>:383>5}#=jn1?n5+74g901=#ij02m:5a772914?<,=l265;7;h:2>4<#?4<#?02=?270=l:938yxd4?>0:6?4?:1y'1fb=0m1C;9m4H4ae?!12m39i7)ol:8c4?k1183?:m6*;f88;1==n080;6)9:e;:;?>i3=00;6)9:e;6:`>N0=m10qo=89;396?6=8r.>oi47d:J40f=O=jl0(:;j:2`8 de=1h=0b:8?:43a?!2a132>46g71;29 23b21207b::9;29 23b2=3o7E9:d:9~f61e28096=4?{%7``?>c3A=?o6F:cg9'30c=;k1/mn46a69m336==8i0(9h6:97;?l>6290/;8k58998k13>290/;8k548f8L23c32wi?:j51;094?6|,i767;:m71<<72-=>i7:6d:J41a=?n6>l4$`a9=d1<;68?i;%6e=?>202c3=7>5$67f>=><3f>>57>5$67f>1?c3A=>h65rb2:0>4<5290;w);ld;:g?M13k2B>ok5+74g97g=#ij02m:5a7729176<,=l265;7;h:2>5<#?5<#?{e;>?1=7<50;2x 0ec21n0D::l;I7`b>"0=l08n6*nc;;b3>h0>90>><5+4g;9<0>=h<<31<7*85d87=a=O?6682>7<729q/9nj58e9K31e<@"3n003955f8083>!12m32376a;5883>!12m3>2h6F85e98yg7113;1>7>50z&6gao?93:1(:;j:9:8?j2213:1(:;j:5;g?M12l21vn<69:081>5<7s-?hh76k;I57g>N2ko1/;8k53c9'ef<>i>1e;;>55368 1`>21?37d6>:18'30c=0110c9;6:18'30c=<0n0D:;k;:a5=>=9381<7>t$4ag>=b<@>>h7E;lf:&41`<4j2.jo77n7:l425<2:<1/8k7584:8m=7=83.<9h478:9l00?=83.<9h4;9e9K30b<3th85n4>:383>5}#=jn14i5G75a8L0ea3-=>i7=m;%c`>?32e?944?:%56a?2>l2B<9i54}c1:a?7=:3:1N06d<,hi15l94n643>0403->m576:8:k;5?6=,>?n6564;n66=?6=,>?n697k;I56`>=zj:k;6<4=:183!3dl32o7E9;c:J6gc=#?=3?3`2:6=4+74g9<==?o76sm3`095?4=83:p(8mk:9f8L22d3A?hj6*85d80f>"fk33j;6`861866<=#i3=00;6)9:e;6:`>N0=m10qo=n6;396?6=8r.>oi47d:J40f=O=jl0(:;j:2`8 de=1h=0b:8?:40a?!2a132>46g71;29 23b21207b::9;29 23b2=3o7E9:d:9~f6g?28096=4?{%7``?>c3A=?o6F:cg9'30c=;k1/mn46a69m336==;i0(9h6:97;?l>6290/;8k58998k13>290/;8k548f8L23c32wi?4o51;094?6|,i767;:m71<<72-=>i7:6d:J41a=?n6>l4$`a9=d1<;68202c3=7>5$67f>=><3f>>57>5$67f>1?c3A=>h65rb0c3>4<5290;w);ld;:g?M13k2B>ok5+74g97g=#ij02m:5a7729166<,=l265;7;h:2>5<#?5<#?{e9h81=7<50;2x 0ec21n0D::l;I7`b>"0=l08n6*nc;;b3>h0>90>?<5+4g;9<0>=h<<31<7*85d87=a=O?7<729q/9nj58e9K31e<@"3n003955f8083>!12m32376a;5883>!12m3>2h6F85e98yg5b:3;1>7>50z&6gao?93:1(:;j:9:8?j2213:1(:;j:5;g?M12l21vn5<7s-?hh76k;I57g>N2ko1/;8k53c9'ef<>i>1e;;>55268 1`>21?37d6>:18'30c=0110c9;6:18'30c=<0n0D:;k;:a5gd=9381<7>t$4ag>=b<@>>h7E;lf:&41`<4j2.jo77n7:l425<2;<1/8k7584:8m=7=83.<9h478:9l00?=83.<9h4;9e9K30b<3th9i=4>:383>5}#=jn14i5G75a8L0ea3-=>i7=m;%c`>?32e?944?:%56a?2>l2B<9i54}c0g7?7=:3:1N06d<,hi15l94n643>0503->m576:8:k;5?6=,>?n6564;n66=?6=,>?n697k;I56`>=zj0>=6<4=:183!3dl32o7E9;c:J6gc=#?64$5d:>=3?3`2:6=4+74g9<==?o76sm88395?4=83:p(8mk:9f8L22d3A?hj6*85d80f>"fk33j;6`861867<=#;h0:6?4?:1y'1fb=0m1C;9m4H4ae?!12m39i7)ol:8c4?k1183?8m6*;f88;1==n080;6)9:e;:;?>i3=00;6)9:e;6:`>N0=m10qo675;396?6=8r.>oi47d:J40f=O=jl0(:;j:2`8 de=1h=0b:8?:41a?!2a132>46g71;29 23b21207b::9;29 23b2=3o7E9:d:9~f37528096=4?{%7``?>c3A=?o6F:cg9'30c=;k1/mn46a69m336==:i0(9h6:4f2?l>6290/;8k58998k13>290/;8k548f8L23c32wi9k;51;094?6|,i767;:m71<<72-=>i7:6d:J41a=?n6>l4$`a9=d1<;68=i;%6e=?3c92c3=7>5$67f>=><3f>>57>5$67f>1?c3A=>h65rb7;3>4<5290;w);ld;:g?M13k2B>ok5+74g97g=#ij02m:5a7729116<,=l268j>;h:2>5<#?5<#?{e>1o1=7<50;2x 0ec21n0D::l;I7`b>"0=l08n6*nc;;b3>h0>90>8<5+4g;91a7=h<<31<7*85d87=a=O?7<729q/9nj58e9K31e<@"3n00>h<5f8083>!12m32376a;5883>!12m3>2h6F85e98yg0?i3;1>7>50z&6ga87):i9;7g5>o?93:1(:;j:9:8?j2213:1(:;j:5;g?M12l21vn;67:081>5<7s-?hh76k;I57g>N2ko1/;8k53c9'ef<>i>1e;;>55568 1`>2:18'30c=0110c9;6:18'30c=<0n0D:;k;:a2=0=9381<7>t$4ag>=b<@>>h7E;lf:&41`<4j2.jo77n7:l425<2<<1/8k755e38m=7=83.<9h478:9l00?=83.<9h4;9e9K30b<3th=494>:383>5}#=jn14i5G75a8L0ea3-=>i7=m;%c`>?32e?944?:%56a?2>l2B<9i54}c45b?7=:3:1N06d<,hi15l94n643>0203->m57;k1:k;5?6=,>?n6564;n66=?6=,>?n697k;I56`>=zj?0b63`2:6=4+74g9<==?o76sm67`95?4=83:p(8mk:9f8L22d3A?hj6*85d80f>"fk33j;6`861860<=#00:6?4?:1y'1fb=0m1C;9m4H4ae?!12m39i7)ol:8c4?k1183??m6*;f886`4=n080;6)9:e;:;?>i3=00;6)9:e;6:`>N0=m10qo897;396?6=8r.>oi47d:J40f=O=jl0(:;j:2`8 de=1h=0b:8?:46a?!2a13?o=6g71;29 23b21207b::9;29 23b2=3o7E9:d:9~f30228096=4?{%7``?>c3A=?o6F:cg9'30c=;k1/mn46a69m336===i0(9h6:4f2?l>6290/;8k58998k13>290/;8k548f8L23c32wi99751;094?6|,i767;:m71<<72-=>i7:6d:J41a=?n6>l4$`a9=d1<;68:i;%6e=?>202c3=7>5$67f>=><3f>>57>5$67f>1?c3A=>h65rb06`>4<5290;w);ld;:g?M13k2B>ok5+74g97g=#ij02m:5a7729106<,=l265;7;h:2>5<#?5<#?{e9=h1=7<50;2x 0ec21n0D::l;I7`b>"0=l08n6*nc;;b3>h0>90>9<5+4g;9<0>=h<<31<7*85d87=a=O?4`82>7<729q/9nj58e9K31e<@"3n003955f8083>!12m32376a;5883>!12m3>2h6F85e98yg?0l3;1>7>50z&6gao?93:1(:;j:9:8?j2213:1(:;j:5;g?M12l21vn5:n:081>5<7s-?hh76k;I57g>N2ko1/;8k53c9'ef<>i>1e;;>55468 1`>21?37d6>:18'30c=0110c9;6:18'30c=<0n0D:;k;:a3c5=9381<7>t$4ag>=b<@>>h7E;lf:&41`<4j2.jo77n7:l425<2=<1/8k7584:8m=7=83.<9h478:9l00?=83.<9h4;9e9K30b<3th:383>5}#=jn14i5G75a8L0ea3-=>i7=m;%c`>?32e?944?:%56a?2>l2B<9i54}cf5>4<5290;w);ld;:g?M13k2B>ok5+74g97g=#ij02m:5a7729101<,=l265;7;h:2>5<#?5<#?{en?0:6?4?:1y'1fb=0m1C;9m4H4ae?!12m39i7)ol:8c4?k1183?>46*;f88;1==n080;6)9:e;:;?>i3=00;6)9:e;6:`>N0=m10qo<6d;396?6=8r.>oi47d:J40f=O=jl0(:;j:2`8 de=1h=0b:8?:47:?!2a132>46g71;29 23b21207b::9;29 23b2=3o7E9:d:9~f7b228096=4?{%7``?>c3A=?o6F:cg9'30c=;k1/mn46a69m336==6290/;8k58998k13>290/;8k548f8L23c32wi>h;51;094?6|,i767;:m71<<72-=>i7:6d:J41a=?n6>l4$`a9=d1<;68;k;%6e=?>202c3=7>5$67f>=><3f>>57>5$67f>1?c3A=>h65rb20e>4<5290;w);ld;:g?M13k2B>ok5+74g97g=#ij02m:5a772910c<,=l265;7;h:2>5<#?5<#?{e?o81=7<50;2x 0ec21n0D::l;I7`b>"0=l08n6*nc;;b3>h0>90>9k5+4g;9<0>=h<<31<7*85d87=a=O?7<729q/9nj58e9K31e<@"3n003955f8083>!12m32376a;5883>!12m3>2h6F85e98yg>5m3;187>50z&6gao?:3:1(:;j:9;8?l>4290/;8k58`98m1`6290/;8k54g08?j2213:1(:;j:5;g?>{e0;l1=7;50;2x 0ec2k20D::l;I7`b>"0=l0?o>5+ab8:e2=#0j0m7c990;756>"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921b494?:%56a?503g=>o7<4;h:6>5<#?=h<<31<7*85d87=a=?n69m<;%c`>0043->m576:8:k;6?6=,>?n6>94n67`>5=h0=j0:76g74;29 23b2:=0b:;l:398k13>290/;8k548f8?xd0<;0:684?:1y'1fb=j11C;9m4H4ae?!12m3>h?6*nc;;b3>"?k3<0b:8?:447?!2a13?o=6g72;29 23b2:=0b:;l:198m=5=83.<9h4<7:l41f<632c387>5$67f>61?h6?54i9794?"0=l08;6`85b80?>i3=00;6)9:e;6:`>=zj>>86<4;:183!3dl3i<7E9;c:J6gc=#?0b63`296=4+74g9<<==n:483>5}#=jn1n55G75a8L0ea3-=>i7:l3:&bg??f?2.3o7;4n643>0013->m57;k1:k;6?6=,>?n6>94n67`>5=h0=j0:76g74;29 23b2:=0b:;l:398m=3=83.<9h4<7:l41f<432e?944?:%56a?2>l21vn;l8:087>5<7s-?hh7m8;I57g>N2ko1/;8k5c49'ef<>i>1e;;>55758 1`>20<729q/9nj5b99K31e<@"fk33j;6*7c;4`?k1183?=46*;f886`4=n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9j<1<72-=>i7=8;o56g?4<3`2>6=4+74g972=i?N0f3<,hi15l94n643>00>3->m57;k1:k;6?6=,>?n6574;h:0>5<#?5<#??n697k;:a1`6=93>1<7>t$4ag>g0<@>>h7E;lf:&41`<3k:1/mn46a69'o7>4;h:0>5<#?=n0=0;6)9:e;14?k12k3807b::9;29 23b2=3o76sm5d395?3=83:p(8mk:c:8L22d3A?hj6*85d87g6=#ij02m:5+8b822>h0>90>:o5+4g;91a7h0=j0;76g73;29 23b2:=0b:;l:098m=2=83.<9h4<7:l41f<532c397>5$67f>61?h6>54o57:>5<#?i766;:k;7?6=,>?n65o4;h6e5?6=,>?n69h=;:m71<<72-=>i7:6d:9~f=4f280?6=4?{%7``?d13A=?o6F:cg9'30c=557f8 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3`2?6=4+74g972=i?65`44;94?"0=l0?5i54}c:1f?7=;3:1N01e43-kh64o8;%:`>7><;688j;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>i3=00;6)9:e;6:`>=zj18h6<4;:183!3dl3i<7E9;c:J6gc=#?=3?3`296=4+74g9<<==n:483>5}#=jn1n55G75a8L0ea3-=>i7:l3:&bg??f?2.3o7?j;o554?3082.?j447599j<7<72-=>i7=8;o56g?6<3`286=4+74g972=i?!12m39<7c9:c;08?l>2290/;8k5369m30e=;21d88750;&41`<31m10qo6>e;391?6=8r.>oi4m8:J40f=O=jl0(:;j:5a0?!gd20k<7)6l:208j2072<=:7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:k;0?6=,>?n6>94n67`>7=h0=j0876a;5883>!12m3>2h65rb93e>4<3290;w);ld;a4?M13k2B>ok5+74g9g0=#ij02m:5a7729124<,=l265;7;h:1>5<#?5<#?:18'30c=0110c9;6:18'30c=<0n07pl63182>6<729q/;8k54b18L0ea3A=?o6*nc;;b3>"2km0i96*7c;77?k1183?<86*;f88;1==n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9l00?=83.<9h4;9e98yg?4=3;1>7>50z&41`<4j2.>oi4nf:J6gc=i??:19:;4i9394?"0=l03465`44;94?"0=l0?5i54}c;00?7=;3:102<;6899;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>i3=00;6)9:e;6:`>=zj>k=6<4=:183!12m39i7);ld;ce?M3dn2d<:=4:769j<4<72-=>i767;:m71<<72-=>i7:6d:9~f2g2280?6=4?{%56a?2d;2B>ok5G75a8 de=1h=0(8mk:c58 =e=9;1e;;>556:8 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3`2?6=4+74g972=i?65`44;94?"0=l0?5i54}c;:1?7=:3:1"2km0jj6F:cg9m336==>30e5?50;&41`>h7)ol:8c4?!3dl3h27)6l:7g8j2072<=j7):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:k;0?6=,>?n6>94n67`>7=h0=j0876a;5883>!12m3>2h65rb8c3>4<5290;w)9:e;1a?!3dl3km7E;lf:l425<2?k1b4<4?:%56a?>?32e?944?:%56a?2>l21vn47i:086>5<7s-=>i7:l3:J6gc=O?=i0(lm59`58 0ec2kk0(5m56d9m336==>i0(9h6:97;?l>5290/;8k5369m30e=821b4>4?:%56a?503g=>o7?4;h:7>5<#?=n0<0;6)9:e;14?k12k3907b::9;29 23b2=3o76sm9`195?4=83:p(:;j:2`8 0ec2hl0D8mi;o554?30l2c3=7>5$67f>=><3f>>57>5$67f>1?c32wi5l<51;794?6|,>?n69m<;I7`b>N05$67f>61?h6<54i9694?"0=l08;6`85b81?>o?=3:1(:;j:258j23d2:10c9;6:18'30c=<0n07pl69382>7<729q/;8k53c9'1fb=io1C9nh4n643>01a3`2:6=4+74g9<==:583>5}#?i7=8;o56g?6<3`286=4+74g972=i?!12m39<7c9:c;08?j2213:1(:;j:5;g?>{e10k1=7<50;2x 23b2:h0(8mk:`d8L0ea3g==<7;71:k;5?6=,>?n6564;n66=?6=,>?n697k;:a=1<7>t$67f>1e43A?hj6F84b9'ef<>i>1/9nj5b69'?n6>94n67`>4=1<7*85d803>h0=j0976a;5883>!12m3>2h65rb920>4<5290;w)9:e;1a?!3dl3km7E;lf:l425<20:1b4<4?:%56a?>?32e?944?:%56a?2>l21vn5>=:086>5<7s-=>i7:l3:J6gc=O?=i0(lm59`58 0ec2kk0(5m58:l425<20=1/8k7584:8m=4=83.<9h4<7:l41f<732c3?7>5$67f>61?h6<54i9694?"0=l08;6`85b81?>o?=3:1(:;j:258j23d2:10c9;6:18'30c=<0n07pl87g82>7<729q/;8k53c9'1fb=io1C9nh4n643>0>23`2:6=4+74g9<==:283>5}#?2.?j447599j<7<72-=>i7=8;o56g?6<3`286=4+74g972=i?"2km0jj6F:cg9m336==1=0e5?50;&41`>h7)ol:8c4?!3dl3h>7)6l:5d8j2072<237):i9;:6<>o?:3:1(:;j:258j23d2910e5=50;&41`<4?2d<9n4>;:m71<<72-=>i7:6d:9~f2?028096=4?{%56a?5e3-?hh7oi;I7`b>h0>90>445f8083>!12m32376a;5883>!12m3>2h65rb6;5>4<4290;w)9:e;6`7>N2ko1C;9m4$`a9=d1<,=3?3`296=4+74g972=i?!12m39<7c9:c;38?j2213:1(:;j:5;g?>{e?0k1=7<50;2x 23b2:h0(8mk:`d8L0ea3g==<7;7b:k;5?6=,>?n6564;n66=?6=,>?n697k;:a3t$67f>1e43A?hj6F84b9'ef<>i>1/9nj5b49'?n6>94n67`>4=:383>5}#?6290/;8k58998k13>290/;8k548f8?xd01j0:6>4?:1y'30c="fk33j;6*:ce8a1>"?k3>m7c990;7;a>"3n003955f8383>!12m39<7c9:c;28?l>4290/;8k5369m30e=921d88750;&41`<31m10qo9n0;396?6=8r.<9h4ok5a77291=`=h<<31<7*85d87=a=5G5bd8L22d3-kh64o8;%7``?d23-2h69h4n643>0?73->m576:8:k;6?6=,>?n6>94n67`>5=h0=j0:76a;5883>!12m3>2h65rb6c0>4<5290;w)9:e;1a?!3dl3km7E;lf:l425<2181b4<4?:%56a?>?32e?944?:%56a?2>l21vn:o=:080>5<7s-=>i7:l3:J6gc=O?=i0(lm59`58 0ec2k?0(5m54g9m336==080(9h6:97;?l>5290/;8k5369m30e=821b4>4?:%56a?503g=>o7?4;n66=?6=,>?n697k;:a3=4=9381<7>t$67f>6d<,h0>90>595+4g;9<0>h0=j0;76g73;29 23b2:=0b:;l:098k13>290/;8k548f8?xd00<0:6?4?:1y'30c=;k1/9nj5ag9K1f`<;687:;h:2>5<#?5<#?oi4ma:&;g?0>3g==<7;66:&7b<o7>4;h:0>5<#?=n0=0;6)9:e;14?k12k3807d6::18'30c=;>1e;8m53:9l00?=83.<9h4;9e98yg1?03;1>7>50z&41`<4j2.>oi4nf:J6gc=i??:19494i9394?"0=l03465`44;94?"0=l0?5i54}c5;3?7==3:13?<;6877;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>o?<3:1(:;j:258j23d2;10e5;50;&41`<4?2d<9n4<;:m71<<72-=>i7:6d:9~f2>e28096=4?{%56a?5e3-?hh7oi;I7`b>h0>90>545f8083>!12m32376a;5883>!12m3>2h65rb6:b>4<2290;w)9:e;6`7>N2ko1C;9m4$`a9=d1<,=3?3`296=4+74g972=i?!12m39<7c9:c;38?l>3290/;8k5369m30e=:21b484?:%56a?503g=>o7=4;n66=?6=,>?n697k;:a3=c=9381<7>t$67f>6d<,h0>90>5n5+4g;9<0>h0=j0;76g73;29 23b2:=0b:;l:098m=2=83.<9h4<7:l41f<532c397>5$67f>61?h6>54o57:>5<#?:18'30c=0110c9;6:18'30c=<0n07pl63782>6<729q/;8k54b18L0ea3A=?o6*nc;;b3>"2km0i86*7c;6e?k1183?2i6*;f88;1==n0;0;6)9:e;14?k12k3:07d6<:18'30c=;>1e;8m51:9l00?=83.<9h4;9e98yg1>93;1>7>50z&41`<4j2.>oi4nf:J6gc=i??:194h4i9394?"0=l03465`44;94?"0=l0?5i54}c5:4?7=;3:11`<;68o?;%6e=?>202c3>7>5$67f>61?h6=54i9194?"0=l08;6`85b82?>i3=00;6)9:e;6:`>=zj0k>6<4=:183!12m39i7);ld;ce?M3dn2d<:=4:a09j<4<72-=>i767;:m71<<72-=>i7:6d:9~f6=4?{%56a?2d;2B>ok5G75a8 de=1h=0(8mk:c;8 =e=:h1e;;>55`08 1`>21?37d6=:18'30c=;>1e;8m50:9j<6<72-=>i7=8;o56g?7<3`2?6=4+74g972=i?65f8483>!12m39<7c9:c;18?j2213:1(:;j:5;g?>{e09:1=7<50;2x 23b2:h0(8mk:`d8L0ea3g==<7;n3:k;5?6=,>?n6564;n66=?6=,>?n697k;:a3c`=93?1<7>t$67f>1e43A?hj6F84b9'ef<>i>1/9nj5b89'?n6>94n67`>4=1<7*85d803>h0=j0976g75;29 23b2:=0b:;l:298k13>290/;8k548f8?xd3=3;1=7>50z&41`<3i=1C9nh4H9`8 <4=011/mh4me:l425<2i<1b8l:50;&41`<3i=10qo9i:082>5<7s-=>i7:n4:J6gc=O0k1/5?4;9e9'e`<>=2.2=7lm;o70>==i5<#?<;68o6;n6b0?6=,>?n69o;;:a=a<6280;6=u+74g90d2<@1?c3-kn69o=;o554?3fi2e?m94?:%56a?2f<21vn4k51;394?6|,>?n69o;;I7`b>N?j2.2>7:6d:&ba?24l2d<:=4:ac9l0d2=83.<9h4;a598yg?a280:6=4?{%56a?2f<2B>ok5G8c9'=7<31m1/mh4;399m336==hi0c9o;:18'30c=07pln0;395?6=8r.<9h4;a59K1f`<@1h0(4<548f8 dc={ei;0:6<4?:1y'30c=0D8mi;I:a?!?52=3o7)oj:648j2072"fm39j7c990;7bb>i3i=0;6)9:e;6b0>=zj=h96<4>:183!12m3>j86F:cg9K">93hi7c;<:99m0c0==91e;;>55c28k1g3290/;8k54`68?xd3j:0:6<4?:1y'30c=0D8mi;I:a?!?52=3o7)oj:868 <7=jk1e9>47;o6e2?373g==<7;m1:m7e1<72-=>i7:n4:9~f1d3280:6=4?{%56a?2f<2B>ok5G8c9'=7<31m1/mh4:a:&:5?de3g?8655a4g4915=i??:19o<4o5c7>5<#?1?c3-kn6:94$839fg=i=:037c:i6;73?k1183?i?6a;a583>!12m3>j865rb5`5>4<6290;w)9:e;6b0>N2ko1C4o5+9387=a=#il0<46*61;`a?k34211e8k85519m336==k>0c9o;:18'30c=07pl;b682>4<729q/;8k54`68L0ea3A2i7)7=:5;g?!gb2=;0(4?5bc9m16m:7;?;o554?3e=2e?m94?:%56a?2f<21vn9l7:082>5<7s-=>i7:n4:J6gc=O0k1/5?4;9e9'e`<282.2=7lm;o70>==i:083>5}#?e3-39697k;%cf>0?<,0;1no5a528;?k2a>3?;7c990;7a3>i3i=0;6)9:e;6b0>=zj>><6<4>:183!12m3>j86F:cg9K">93hi7c;<:99m0c0==91e;;>55c:8k1g3290/;8k54`68?xu3=3:1?vP;5:?72?>634>>69o;;|q72?6=<1q69;=5419>132=<9169;h5419>123=<9169:85419>121=<9169:65419>12?=<9169:o5419>12d=<9169;;5419>130=<9169;95419>13>=<9169;75419>13g=<9169;l5419>13e=<9169;j5419>13c=<9169:>5419>127=<9169:<5419>125=<9169::5419>1f3=<916:o65419>1ag=<9169i<5419>2<`=<916:o:5419>2f3=<916:n85419>2f1=<916:n65419>2f?=<916:no5419>2fd=<916:nm5419>2fb=<916:nk5419>2ce=<916:kj5419>2cc=<916:kh5419>356=<916;=?5419>354=<916;==5419>352=<916;=;5419>361=<916;>65419>36?=<916;>o5419>36d=<916;>m5419>36b=<916;>k5419>36`=<916;9>5419>375=<916;?:5419>373=<916;?85419>371=<916;?65419>37?=<916;?o5419>37d=<916;?m5419>03<3=01v:h50;1xZ2`<51:18874=6d90d2j3:1?vP6b:?b0?221272n7:n4:p=f<72:qU5n52a4871<=:1j0?m95rs8f94?5|V0n01l8544;890q~7j:180[?b34k<69;6;<;f>1g33ty2j7>53z\:b>;f03>>5636f;6b0>{ti90;6>uQa19>e<<3=016m=4;a59~wd4=839pRl<4=`c900?<5h818l:4}rc0>54:1:?0<`<292785<4:1:?2g3<3;27:h44;3:?2gc<2927:h=4:1:?2`4<2927:h?4:1:?2`6<2927:h94:1:?2`0<2927:h;4:1:?2`2<2927:o:4:1:?2g=<2927:o44:1:?2gd<2927:oo4:1:?2gf<2927:oi4:1:?2g`<29278?=4:1:?077<2927h47:<;15<5l318>52fg877>;4j:0>=63;61=0??63>a5877>;61<0>=63>99865>;61h0>=63>9c865>;61j0>=63>9e865>;61l0>=63;4l<0>=63>ae877>;6jm0??63>ad865>;6j80>=63>b2865>;6j=0>=63>b4865>;6j?0>=63>b6865>;5l?0>=63=d9865>;5lk0>=63=fb865>;48;0>=63=fd865>;4880>=63<05865>;5kh0>=63=cb865>;5kl0>=63=94865>;51?0>=63=96865>;4:>0>=63<29865>;4:00>=63>ed865>;58:0>=63=19865>;5:m0>=63:01877>;2900??63:0c865>;2990>=63:10865>;29;0>=63:12865>;29=0>=63:14865>;29?0>=63:16865>;2880>=63:03865>;28:0>=63:05865>;28<0>=63:07865>;28>0>=63:09865>;2800>=63:0`865>;28j0>=63:0e865>;28l0>=63:0g865>;2;;0>=63:37865>;2;j0>=63958865>;1=m0>=63960865>;1?00>=6397d865>;19m0??63931877>;19l0>=63921865>;1:;0>=63925865>;1:?0>=63929865>;1:h0>=6392b865>;1;k0??6394d877>;1;j0>=6393d865>;1<90>=63943865>;1<=0>=63947865>;1<10>=6394`865>;2m:0??63:f7877>;2m=0>=63:e7865>;2m10>=63:e`865>;2mj0>=63:ed865>;2n90>=63:f3865>;1890??63912877>;1880>=63902865>;18<0>=63906865>;1800>=6390c865>;18m0>=6390g865>;fi32:70o6:9389d>=0816m:471:?b2?>634k>65?4=`69<4=:i:03=63l8;72?8ef2<;01h75509>315=0;16:o95829~wf>=839p1n6544;89fg=<:16i=4;3:pg<<72:q6o44;589>g=<3n816hh4nb:pgd<72:q6ol4;589>gf<3;27n=7:<;|q`f?6=;r7hn7::9:?`e?2a927n<7om;|q`g?6=;r7ho7::9:?``?2434o969=4}rag>5<4s4io69;6;15<5l918>5rsbg94?5|5jo18874=bd906=:m=0??6s|cg83>6}:ko0?9452d1877>;b=3>87p}k0;297~;c83>>563k1;60?8c12=90q~j>:1808b62=?270j=:5189`1=<:1vi<50;1x9a4=<<301i=5429>a=<3;2wxh>4?:3y>`6<3=016hk4;3:p`1<72;q6h94;589>`cgf<3n816i<4nb:?g2?2212wxh:4?:2y>`2<3=016oi4;f09>a7`=<3=016oh4;f09>a6`<<3=016ok4;f09>a1`d<3=016h=4;f09>a0`g<3=016h<4;f09>a3`f<3=016h?4;f09>a2`a<3=016h>4;f09>a=a<<3=016io4;3:?244<3;2wxil4?:2y>ad<3=016i44;f09>bcag<3=016in4;3:?247<3;2wxin4?:2y>af<3=016ii4;3:?246<3;2wxii4?:2y>aa<3=016ih4;3:?241<3;2wxih4?:2y>a`<3=016ik4;3:?240<3;2wxik4?:2y>ac<3=016j=4;3:?243<3;2wxj=4?:2y>b5<3=016j<4;3:?242<3;2wxj<4?:2y>b4<3=016j?4;3:?24=<3;2wxj?4?:2y>b7<3=016j>4;3:?24<<3;2wxj>4?:3y>b6<3=016==>5429~wc2=838p1<>?:``89c2=<<30q~h::1808ce2=l:70??1;ca?8`12=?27p}i7;297~;bk3>m=63>038bf>;a?3>>56s|f983>6}:mm0?j<521119eg=:n10?945rsg;94?5|5lo18k?4=027>dd<5o318874}rdb>5<4s4om69h>;<331?ge34lj69;6;|qef?6=;r7m<7:i1:?243551=ik16jn4;589~wcb=839p1k<54g38946?2hh01kj544;8yv`b2908w0h<:5d2?87713ki70hj:57:?xu68h0;6>u211c900?<58:i69=4=002>1553z?24g<3=016==m5429>574=<:1v<>l:180877k3>>563>0e877>;6::0??6s|11f94?5|58:o69;6;<33a?2434;987:<;|q24`<72:q6==k544;8946a2=901<<::518yv77n3:1?v3>0g871<=:98:18>52134906=z{8;;6=4<{<324?22127:=<4;3:?262<3;2wx=2;60?87503>87p}>1383>6}:98818874=030>15<588269=4}r327?6=:r7:=>4;589>576=<:1vm=63>1g8bf>;69=0?945rs036>5<5s4;9<7om;<321?2212wx=<850;1x946e2=l:70?=1;ca?876>3>>56s|10594?5|58:h69h>;<316?ge34;:;7::9:p54>=839p1<>k:5d2?875;3ki70?>8;66=>{t9831<7=t=02f>1`634;987om;<32=?2212wx=>56s|10`94?5|58;;69h>;<312?ge34;:n7::9:p54e=839p1:5d2?875?3ki70?>c;66=>{t98n1<7=t=031>1`634;947om;<32`?2212wx=>56s|13c94?5|588j69;6;<31f?2434;?=7:<;|q26g<72:q6=?l544;8944d2=901<:=:518yv75k3:1?v3>2b871<=:9;n18>52151906=z{88o6=4<{<31`?22127:>h4;3:?201<3;2wx=?k50;1x944b2=?270?=f;60?873=3>87p}>2g83>6}:9;l18874=013>15<58>=69=4}r304?6=;r7:?=4;589>567=<:16=995429~w4562908w0?<1;66=>;6;;0??63>49877>{t9:81<7=t=011>13>34;8?7:<;<37=?243ty:?>4?:3y>565=<<301<:?:518yv74<3:1?v3>2`87b4=:9:l1mo52126900?52z?205;6<80jn63>37871<=z{89<6=4<{<31g?2a927:8?4nb:?272<3=01v<=7:180875l3>m=63>428bf>;6;10?945rs01:>5<4s4;9i7:i1:?201;6<<0jn63>3`871<=z{89i6=4<{<304?2a927:8;4nb:?27g<3=01v<=l:18087493>m=63>468bf>;6;j0?945rs01g>5<4s4;8>7:i1:?20=;6<00jn63>3d871<=z{8>n6=4<{<37b?22127:n84;f09>5f5=ik1v<;?:18087293>>563>b787b4=:9j>1mo5rs071>5<4s4;>?7::9:?2=f<3n816=lo5ac9~w4332908w0?:5;66=>;61m0?j<521``9eg=z{8?=6=4<{<362?22127:9:4;3:?22a<3;2wx=8950;1x94302=?270?:9;60?871m3>87p}>5983>6}:9<218874=074>1`634;=h7om;|q21<<72:q6=87544;8943f2=901<8i:518yv72i3:1?v3>5`871<=:952162906=z{8?i6=4<{<36f?22127:9l4;f09>53`=ik1v<;l:180872k3>>563>5d877>;6?80??6s|14f94?5|58?o69;6;<36g?2a927:;=4nb:p50c=839p1<;j:57:?87183>870?82;60?xu6=o0;6>u214d900?<58?n69h>;<345?ge3ty::=4?:2y>536=<<301<8=:51894142=90q~?91;297~;6>80?945217290c7<58=96ll4}r356?6=;r7::?4;589>532=<:16=::5429~w4042908w0?93;66=>;6>;0?j<521619eg=z{8m=63>758bf>{t9?<1<7=t=075>1`634;=o7om;<353?2212wx=;650;1x943>2=l:70?9e;ca?87113>>56s|17`94?4|58523=<<301<99:51894>d2=90q~?86;297~;6??0?945216:906=:91n18>5rs054>5<4s4;<;7::9:?233<3n816=5m5ac9~w41?2908w0?88;66=>;6?00??63>8d877>{t9>31<7=t=05:>13>34;52g=<<301<96:5d2?87?m3ki7p}>7c83>6}:9>h18874=05g>15<583;69=4}r34g?6=;r7:;n4;589>52d=7e871<=:9>l18>52183906=z{8=n6=4<{<34a?22127:;i4;f09>5<6=ik1v<9i:180870n3>>563>80877>;61;0??6s|19294?5|582;69;6;<34b?2a927:5<4nb:p5=7=839p1<6>:57:?87?;3>870?63;60?xu60;0;6>u2190900?<582:69h>;<3:6?ge3ty:4>4?:3y>5=5=<<301<66:518yv7?<3:1?v3>85871<=:91918k?4=0;0>dd53z?230<3n816=5l5ac9>5=0=<<30q~?77;297~;6?10?j<5219f9eg=:91218874}r3;e?6=:r7:4l4;589>5=?=ik1v<7;:18087><3>>563>94877>;6i<0??6s|18794?5|583>69;6;<3:3?2434;j:7:<;|q2=3<72:q6=48544;894?22=l:70?n5;ca?xu61>0;6>u2185900?<583369=4=0c4>1553z?2==<3=016=4o5429>5d>=<:1v<76:18087>13>>563>9987b4=:9h=1mo5rs0;b>5<4s4;2m7::9:?2=g<3;27:m44;3:p5k3>870?na;60?xu61j0;6>u218a900?<583o69=4=0ca>1553z?2=a<3=016=4k5429>5de=<:1v<7j:18187>m3>>563>a2877>{t90l1<7=t=0;7>1`634;j87om;<3b4?2212wx=l?50;1x94?02=l:70?n6;ca?87f:3>>56s|1`f94?5|58ko69;6;<3ba?2434;ii7:<;|q2e`<72:q6=lk544;894d72=901ag871<=:9ho18k?4=0`f>dd53z?2f5<3=016=o?5429>5f6=<:1v:18087e93>>563>b2877>;6k80??6s|1c094?5|58h969;6;<3a5?2a927:o=4nb:p5g5=839p1870?l2;60?xu6j=0;6>u21c6900?<58h>69=4=0a0>1553z?2f0<3=016=o85429>5f2=<:1v3>>563>b6877>;6k<0??6s|1c594?4|58h<69;6;<3ag?243ty:n54?:2y>5db=2=?27p}>b`83>6}:9k:18k?4=0`e>dd<58hi69;6;|q2g3<72:q6=n8544;894ea2=901c6871<=:9j218>521e`906=z{8i36=4<{<3`2=?270?la;60?87cl3>87p}>c`83>6}:9jk18874=0aa>15<58nn69=4}r3`f?6=;r7:oo4;589>5fe=<:16=ih5429~w4ed2908w0?lc;66=>;6km0??63>e1877>{t9jn1<7=t=0ag>13>34;hi7:<;<3f5?243ty:oh4?:3y>5fc=<<301cg871<=:9m:18>521d6906=z{8n;6=4<{<3g4?22127:h<4;3:?2a0<3;2wx=i?50;1x94b62=?270?k2;60?87b>3>87p}>d383>6}:9m818874=0f0>15<58o<69=4}r3g7?6=;r7:h>4;589>5a2=<:16=h65429~w4b32908w0?k4;66=>;6l<0??63>e8877>{t9m?1<7=t=0f6>13>34;o:7:<;<3fe?243ty:h;4?:2y>5a0=<<3010?94521b5906=:9mk18>5rs0f;>5<4s4;h:7:i1:?2`<;6mo0??6s|1dd94?4|58om69;6;<3e4?243ty:j=4?:3y>5c6=<<301:518yv7a93:1>v3>f0871<=:9o818>5rs0d1>5<5s4;m>7::9:?2b6<3;2wx=k=50;0x94`42=?270?i4;60?xu6n=0;6?u21g6900?<58l>69=4}r3e1?6=:r7:j84;589>5c0=<:1v3>>563>f6877>{t9o=1<713>34;ni7:<;|q2b=<72;q6=k6544;894cc2=l:7p}>f883>7}:9o318874=0ge>1`63ty:jl4?:3y>5cg=<<301;|q2bf<72;q6=km544;894`52=l:7p}>fe83>7}:9o918k?4=0dg>13>3ty:jh4?:3y>5c2==>544;894`12=l:7p}=0083>7}::9;18874=0d4>1`63ty9654=<<301?>;:518yv47<3:1>v3=05871<=::9?18>5rs326>5<5s48;97::9:?143<3;2wx>=850;0x97612=?2700;6?u2215900?<5;:369=4}r0365?=<:1v?>6:18184713>>563=0`877>{t:9k1<713>348;n7:<;|q14g<72;q6>=l544;8976d2=90q~;58=0?j<5rs32e>5<5s48;j7::9:?140<3n81v???:18184683>>563=0787b4=z{;;:6=4={<025?221279<:4;f09~w7752909w0;59;0?945rs330>5<5s48;57:i1:?156<3=01v??;:181847i3>m=63=15871<=z{;;>6=4={<021?2212796;66=>;58j0?j<5rs334>5<5s48:;7::9:?15<<3;2wx><750;0x977>2=?270<>a;60?xu59h0;6?u220c900?<5;;i69=4}r02f?6=:r79=o4;589>64e=<:1v??l:181846k3>>563=1e877>{t:8n1<713>348:i7:<;|q15`<72;q6>f;296~;59o0?9452232906=z{;8;6=4={<014?221279><4;3:p677=838p1?<>:57:?84603>87p}=2383>7}::;818874=334>1`63ty9>>4?:3y>675=<<301??6:5d2?xu5:=0;6?u2236900?<5;;j69h>;|q160<72;q6>?;544;8977e2=l:7p}=2783>7}::;<18874=33`>1`63ty9>:4?:3y>671=<<301??k:5d2?xu5:10;6?u223:900?<5;;n69h>;|q16<<72;q6>?7544;8977a2=l:7p}=2`83>7}::;k18874=303>1`63ty9>o4?:3y>67d=<<301?<>:5d2?xu5:j0;6?u223a900?<5;8n69=4}r01a?6=:r79>h4;589>67`=<:1v?>563=31877>{t:::1<713>3488=7:<;|q174<72;q6>>?544;897552=90q~<<2;296~;5;;0?9452221906=z{;986=4={<007?221279?94;3:p662=838p1?=;:57:?844=3>87p}=3483>7}:::?18874=315>1552z?173<3=016>?j5429~w7502909w0<<7;66=>;5:j0?j<5rs31;>5<5s48847::9:?16`<3n81v?=6:18184413>>563=2g87b4=z{;9j6=4={<00e?221279?=4;f09~w75e2909w0<;5;80?j<5rs31`>5<5s488o7::9:?177<3n81v?=k:181844l3>>563=3287b4=z{;9n6=4={<00a?221279?94;f09~w75a2909w0<;5;<0?j<5rs363>5<5s48?<7::9:?173<3n81v?:>:18184393>>563=42877>{t:=91<713>348?87:<;|q101<72;q6>9:544;897222=90q~<;5;296~;5<<0?9452254906=z{;>=6=4={<072?2212798:4;3:p611=838p1?:8:57:?84303>87p}=4983>7}::=218874=36:>1552z?10<<3=016>9o5429~w72f2909w0<;a;66=>;5i69;6;<076?243ty98n4?:3y>61e=<<301?:>:5d2?xu5869h>;|q10`<72;q6>9k544;897232=l:7p}=4g83>7}::=l18874=366>1`63ty99=4?:3y>606=<<301?:9:5d2?xu5=80;6?u225590c7<5;?:69;6;|q117<72;q6>9654g3897352=?27p}=5283>7}::=318k?4=370>13>3ty9994?:3y>602=<<301?:n:5d2?xu5=<0;6?u225`90c7<5;?>69;6;|q113<72;q6>88544;8973?2=90q~<:8;296~;5=10?945224;906=z{;?26=4={<06=?2212799l4;3:p60g=838p1?;n:57:?842j3>87p}=5c83>7}::15o7>52z?11f<3=016>8j5429~w73c2909w0<:d;66=>;5=l0??6s|24g94?4|5;?n69;6;<06b?243ty99k4?:3y>60`=<<301?8?:518yv4183:1>v3=61871<=::<=18>5rs342>5<5s48==7::9:?113<3n81v?8=:181841:3>>563=5987b4=z{;<86=4={<057?221279944;f09~w7032909w0<94;66=>;5=h0?j<5rs346>5<5s48=97::9:?11g<3n81v?89:181842k3>m=63=67871<=z{;<<6=4={<06`?2a9279::4;589~w70?2909w0<:e;6e5>;5>10?945rs34:>5<5s48=57::9:?11c<3n81v?8n:18184183>m=63=6`871<=z{;87p}=6d83>7}::?o18874=34e>1552z?12c<3=016>:>5429~w7172909w0<80;66=>;5?80??6s|26394?4|5;=:69;6;<046?243ty9;?4?:3y>624=<<301?9<:518yv40;3:1>v3=72871<=::>>18>5rs357>5<5s48<87::9:?130<3;2wx>:;50;0x97122=?270<9c;60?xu5??0;6?u2264900?<5;;|q132<72;q6>:9544;8970c2=l:7p}=7983>7}::>218874=34f>1`63ty9;44?:3y>62?=<<301?8i:5d2?xu5?h0;6?u226c900?<5;=;69h>;|q13g<72;q6>:l544;897162=l:7p}=7b83>7}::>i18874=351>1`63ty9;i4?:3y>62b=<<301?9<:5d2?xu5?l0;6?u226g900?<5;=?69h>;|q13c<72;q6>:h544;897122=l:7p}=8183>7}::1:18874=3:1>157>52z?1<7<3=016>5=5429~w7>42909w0<73;66=>;50=0??6s|29694?4|5;2?69;6;<0;1?243ty9484?:3y>6=3=<<301?69:518yv4?>3:1>v3=87871<=::1=18>5rs3:4>5<5s483;7::9:?1<=<3;2wx>5650;0x97>?2=?270<79;60?xu5000;6?u229;900?<5;2j69=4}r0;e?6=:r794l4;589>6=7=<:1v?6m:18184?j3>>563=8187b4=z{;2h6=4={<0;g?2212794?4;f09~w7>c2909w0<7d;66=>;50:0?j<5rs3:f>5<5s483i7::9:?1<1<3n81v?6i:18184?n3>>563=8487b4=z{;3;6=4={<0:4?2212794;4;f09~w7?62909w0<61;66=>;50>0?j<5rs3;1>5<5s482>7::9:?1<=<3n81v?7<:18184>;3>>563=8887b4=z{;3?6=4={<0:0?2212794l4;f09~w7?22909w0<65;66=>;51?0??6s|28494?4|5;3=69;6;<0:3?243ty95:4?:3y>6<1=<<301?77:518yv4>13:1>v3=98871<=::0?18k?4}r0:e?6=:r795l4;589>6<0=52z?1==<3n816>4j544;8yv4>m3:1>v3=9d871<=::h:18>5rs3c3>5<5s48j<7::9:?1e4<3;2wx>l?50;0x97g62=?2704;589>6d2=<:1v?o;:18184f<3>>563=a4877>{t:h?1<713>348j:7:<;|q1e3<72;q6>l8544;897g02=90q~0?94522`:906=z{;k36=4={<0bm3>m=6s|2`c94?4|5;kj69;6;<0:b?2a92wx>ll50;0x97ge2=?270{t:hi1<713>348j=7:i1:p6db=838p1?ok:57:?84f:3>m=6s|2`g94?4|5;kn69;6;<0b7?2a92wx>lh50;0x97ga2=?270{t:k:1<713>348j97:i1:p6g7=838p1?l>:57:?84f>3>m=6s|2c094?4|5;h969;6;<0b3?2a92wx>o=50;0x97d42=?270{t:k>1<713>348i:7:<;|q1f3<72;q6>o8544;897d02=90q~0?94522c:906=z{;h36=4={<0a87p}=b`83>7}::kk18874=3`a>1552z?1fg<3=016>om5429~w7dd2909w0;5jm0??6s|2cf94?4|5;ho69;6;<0aa?243ty9nh4?:3y>6gc=<<301?l::518yv4en3:1>v3=bg871<=::k>18k?4}r0`4?6=:r79o=4;589>6g3=7>52z?1g7<3=016>o954g38yv4d;3:1>v3=c2871<=::k218k?4}r0`0?6=:r79o94;589>6g?=52z?1g3<3=016>ol54g38yv4d?3:1>v3=c6871<=::ki18k?4}r0`6gb=52z?1gd<3=016>nl5429~w7ee2909w0;5kj0??6s|2ba94?4|5;ih69;6;<0``?243ty9oi4?:3y>6fb=<<301?mj:518yv4dn3:1>v3=cg871<=::jk18k?4}r0g4?6=:r79h=4;589>6fd=7>52z?1ga<3n816>i=544;8yv4c<3:1>v3=cd87b4=::m?18874}r0g2?6=:r79h;4;589>6a1=<:1v?j8:18184c?3>>563=d9877>{t:m21<713>348o57:<;|q1`<<72;q6>i7544;897be2=90q~52z?1`g<3=016>im5429~w7bd2909w0;5ll0??6s|2ef94?4|5;no69;6;<0gg?2a92wx>ih50;0x97b12=l:70{t:l;1<713>348o;7:i1:p6`4=838p1?k=:57:?84c03>m=6s|2d194?4|5;o869;6;<0gf?2a92wx>h:50;0x97bb2=l:70{t:l<1<713>348n47:<;|q1a=<72;q6>h6544;897c>2=90q~87p}=eb83>7}::li18874=3gg>1552z?1aa<3=016>hk5429~w7cb2909w0;5mo0??6s|2dd94?4|5;om69;6;<0e4?243ty9j=4?:3y>6c6=<<301?k8:518yv4a93:1>v3=f0871<=::l<18k?4}r0e6?6=:r79j?4;589>6`1=52z?1b1<3=016>h754g38yv4a=3:1>v3=f4871<=::lk18k?4}r0e2?6=:r79j;4;589>6`d=0?94522da90c752z?1b=<3=016>hj54g38yv4a13:1>v3=f8871<=::lo18k?4}r0ee?6=:r79jl4;589>6``=52z?1bf<3=016>kh5429~w7`c2909w0;4880??6s|2gd94?4|5;lm69;6;<136?243ty8<=4?:3y>757=<<301>>;:518yv57:3:1>v3<03871<=:;9?18>5rs220>5<5s49;87::9:?043<3;2wx?=950;0x96602=?270{t;921<713>348mi7:i1:p75?=838p1>>6:57:?84an3>m=6s|31c94?4|5::j69;6;<135?2a92wx?=l50;0x966e2=?270=?2;6e5>{t;9i1<713>349;87:i1:p75b=838p1>>::5d2?85683>>56s|31g94?4|5::=69h>;<13b?2212wx?3;60?xu49:0;6?u2301900?<5:;?69=4}r120?6=:r78=94;589>743=<:1v>?::181856=3>>563<17877>{t;8<1<713>349:;7:<;|q052<72;q6?<9544;8967?2=90q~=>8;296~;4910?945230;906=z{:;26=4={<12=?221278=l4;3:p74g=838p1>?n:57:?856j3>87p}<1c83>7}:;8h18874=231>1552z?05f<3=016?v3<1e871<=:;8818k?4}r12a?6=:r78=h4;589>745=f;296~;49o0?945230690c752z?065<3=016?<;54g38yv5593:1>v3<20871<=:;8<18k?4}r116?6=:r78>?4;589>741=52z?061<3=016?<754g38yv55=3:1>v3<24871<=:;8k18k?4}r112?6=:r78>;4;589>74d=0?945233:906=z{:836=4={<1144;3:p77?=838p1><6:57:?855i3>87p}<2c83>7}:;;h18874=204>1`63ty8>n4?:3y>77e=<<301><7:5d2?xu4:m0;6?u233f900?<5:8269h>;|q06`<72;q6??o54g38964a2=?27p}<3183>7}:;::18874=212>1552z?074<3=016?><5429~w6552909w0=<2;66=>;4;:0??6s|32194?4|5:9869;6;<100?243ty8?94?:3y>762=<<301>=::518yv54=3:1>v3<34871<=:;:<18>5rs215>5<6>r78?;4;589>==b=0:165=4g=0=165<65859>=40=0=165<:5859>=44=0=165495849>=46=0:165=k5829>=5e=0:165?k5829>=7e=0:165?o5829>=7>=0:165?85829>=72=0:165?<5829>=76=0:165=5g=0:1v>=8:18185493>m=63<36871<=z{:936=4={<107?2a9278?54;589~w65f2909w0=<4;6e5>;4;h0?945rs21`>5<5s49897:i1:?07f<3=01v>=j:181854>3>m=63<3d871<=z{:>26=4<{<17=?2212788l4;3:?025<3;2wx?9o50;1x962f2=?270=;b;60?85193>87p}<4c83>6}:;=h18874=26`>15<5:<969=4}r17g?6=;r788n4;589>71b=<:16?;=5429~w62c2908w0=;d;66=>;4{t;=o1<7=t=26f>13>349?j7:<;<151?243ty88k4?:2y>71`=<<301>;?:51896012=90q~=:0;297~;4=90?9452343906=:;?=18>5rs272>5<4s49>=7::9:?017<3;278:54;3:p704=838p1>;=:57:?852n3>87p}<5283>6}:;<918874=26:>1`6349>i7om;|q011<72;q6?8:544;8963a2hh0q~=:5;297~;4=<0?945235c90c7<5:<;6ll4}r162?6=;r789;4;589>71d=8>:``8yv52?3:1?v3<56871<=:;=i18k?4=241>dd47>53z?01=<3=016?9j54g3896042hh0q~=:9;297~;4=00?945235g90c7<5:71`=8::``8yv52j3:1?v3<5c871<=:;<:18k?4=245>ddo7>53z?01f<3=016?8?54g3896002hh0q~=:d;297~;4=m0?945234090c7<5:<36ll4}r15=?6=;r78:44;589>73d=<:16?585429~w60f2908w0=9a;66=>;4>00?j<523979eg=z{:87p}<6e83>6}:;?n18874=24`>1`63493;7om;|q02`<72:q6?;k544;8960a2=901>66:518yv51n3:1?v3<6g871<=:;>:18>5239c906=z{:=;6=4<{<144?221278;<4;3:?087p}<7383>6}:;>818874=250>15<5:2o69=4}r147?6=:r78;>4;589>7=2=<:1v>9;:18185?<3ki70=85;66=>{t;><1<7=t=24a>1`63493:7om;<143?2212wx?:650;1x960b2=l:70=78;ca?85013>>56s|36c94?5|5:;<1;=?ge3499?:5d2?85?i3ki70=8d;66=>{t;>o1<7=t=252>1`63493n7om;<14b?2212wx?5>50;1x96152=l:70=7c;ca?85?93>>56s|39094?5|5:=869h>;<1;`?ge3493?7::9:p7=c=839p1>6j:57:?85>83>870=nb;60?xu40o0;6>u239d900?<5:2n69h>;<1be?ge3ty85=4?:2y>7<6=<<301>7>:51896gd2=90q~=61;297~;4180?9452381906=:;hn18>5rs2;1>5<4s492>7::9:?0=4<3n816?lm5ac9~w6?42908w0=63;66=>;41=0??63{t;0>1<7=t=2;7>13>349297:<;<1bb?243ty8584?:2y>7<3=<<301>79:51896d72=90q~=66;297~;41?0?9452385906=:;k;18>5rs2;4>5<4s492;7::9:?0==<3;278n?4;3:p7<>=838p1>77:57:?85f13>87p}<9883>7}:;h31mo5238c900?53z?0=5<3n816?ll5ac9>77dc=ik16?l>544;8yv5f93:1?v3<9487b4=:;hl1mo523`0900?53z?0=3<3n816?o>5ac9>7d2=<<30q~=n5;297~;41>0?j<523c39eg=:;h<18874}r1b3?6=;r78554;f09>7g4=ik16?l6544;8yv5e;3:1?v3523bc906=z{:h?6=4<{<1a0?221278n>4;f09>7f?=ik1v>l::18085e=3>>563;4kk0??6s|3c494?5|5:h=69;6;<1au23c:900?<5:h269=4=2ag>1553z?0f<<3=016?ol5429>7fc=<:1v>ln:18085ei3>>5635<4s49in7::9:?0ff<3;278ok4;3:p7ge=839p1>ll:57:?85el3>870=k0;60?xu4jm0;6>u23cf900?<5:hn69=4=2f2>1552z?0f`<3=016?n65429~w6da2909w0=l8;ca?85en3>>56s|3b294?5|5:h>69h>;<1`e?ge349h=7::9:p7f4=839p1>l7:5d2?85dk3ki70=l3;66=>{t;j>1<7=t=2`a>1`6349hi7om;<1`0?2212wx?n;50;1x96dd2=l:70=lf;ca?85d=3>>56s|3b494?5|5:ho69h>;<1g4?ge349h:7::9:p7f1=839p1>lj:5d2?85c93ki70=l7;66=>{t;m81<7=t=2f1>13>349o87:<;<1f=?243ty8h>4?:2y>7a5=<<301>j=:5d2?85b03ki7p}6}:;m>18874=2f6>15<5:oj69=4}r1g1?6=;r78h84;589>7a1=<:16?hl5429~w6b12908w0=k6;66=>;4l<0?j<523dc9eg=z{:n<6=4<{<1g3?221278h54;3:?0af<3;2wx?i650;1x96b?2=?270=ka;60?85bl3>87p}6}:;m318874=2f;>1`6349no7om;|q0`d<72:q6?io544;896be2=901>kj:518yv5cj3:1?v3523dd906=z{:nh6=4<{<1gg?221278hi4;3:?0b5<3;2wx?ij50;0x96bc2=?270=j7;60?xu4ll0;6?u23d59eg=:;mo18874}r1gb?6=;r78h94;f09>7`?=ik16?h>544;8yv5b93:1?v353z?0`d<3n816?hj5ac9>7`5=<<30q~=j4;297~;4lk0?j<523dg9eg=:;l>18874}r1f1?6=;r78hn4;f09>7``=ik16?h;544;8yv5b>3:1?v353z?0b4<3=016?k<5429>05>=<:1v>h=:18085a:3>>563;3800??6s|3g194?5|5:l869;6;<1e0?2434>;m7:<;|q0b1<72:q6?k:544;896`22=9019>m:518yv5a=3:1?v35241a906=z{:l=6=4<{<1e2?221278j:4;3:?74a<3;2wx?k950;1x96`02=?270=i8;60?827m3>87p}6}:;o218874=2d:>15<5=:m69=4}r1e=?6=;r78j44;589>7cg=<:168<>5429~w6`f2909w0=ia;66=>;38>0??6s|3g`94?5|5:li69;6;<1e5?2a927?<;4nb:p7ce=838p1>hl:57:?827?3ki7p}6}:;on18874=2d1>1`634>;47om;|q0b`<72:q6?kk544;896`42=l:70:?9;ca?xu4no0;6>u23gd900?<5:l?69h>;<63e?ge3ty?<=4?:2y>056=<<301>h::5d2?827j3ki7p};0083>6}:<9;18874=2d5>1`634>;o7om;|q747<72:q68=<544;896`02=l:70:?d;ca?xu38:0;6>u2411900?<5:l369h>;<63a?ge3ty?<94?:2y>052=<<301>h6:5d2?827n3ki7p};0483>6}:<9?18874=2db>1`634>:<7om;|q754<72>>p1::j:5;f?85113>870=75;60?851j3?:70=9e;72?851n3?:70=80;72?85093?:70=82;72?850;3?:70?:6;72?87213?:70?85;72?87003?:70=7e;60?85fi3>870=60;72?85>;3?:70=64;72?85>=3?:70=66;72?85>?3?:70=68;72?85313>870=:e;60?85a93>870:?6;60?87d>3?:70=<0;60?85493?:70=<3;72?854<3?:70=<5;72?854>3?:70=m3;60?85d13>870=m5;72?85e03?:70=m9;72?85ej3?:70=mc;72?85el3?:70=me;72?87><3?:70?67;72?85c:3>870=j8;60?85c<3?:70=k7;72?85c03?:70=ka;72?85cj3?:70=kc;72?85cl3?:70?nd;72?87e83?:70870870<6e;60?84b>3>870=>1;60?84e<3>870?jd;60?84393>870<;2;72?847:3>870<:6;60?842?3?:70<>7;60?841j3>870<9c;72?845k3>870<70;60?84?93?:70;?0;72?834:3>870;<5;72?83403?:70;<9;72?834l3?:70;m70;k2;6e?80e<3>m708:9;60?80083>8708:b;72?802k3?:708:f;72?80183?:70893;72?80013>870861;60?800j3?:7088c;72?800l3?:70870;72?80?93?:70872;72?806l3?:7087}:0m>18874=9g6>=4:?7>52z?;`0<3=0164h95839~w1732909w06k6;66=>;?m003>6s|40794?4|51n369;6;<:ff?>53ty?=;4?:3y>v37d`871<=:0ll14?5rs53;>5<5s42on7::9:?;b4015j;:9689=b221>015j9:9689=b?21>015j6:9689=bf21>015jm:9689<512=?2707<7;:2?xu39h0;6>u2357900?<5:hm65;4=92`>=3:n7>52z?;`f<3=0164k=5839~w17d2909w06kc;:1?81>93>>56s|40f94?4|51no69;6;<:e1?>23ty?=h4?:3y>1a?=<<3018j7:978yv26n3:1?v3<49871<=:;==148523b59<0=z{=8;6=4={<7ga?>234?n>7::9:p077=838p15<::57:?8>5<32>7p};2383>7}:08h1485280d900?9?7>52z?;62<3=0164?85839~w1432909w06=8;:0?8>5k3>>56s|43794?4|518m69;6;<:1a?>53ty?>;4?:3y><66=<<3015v36328;0>;>;<0?945rs50;>5<5s432476=;<;:1?2212wx8?750;0x9=6?21>014o<:57:?xu3:h0;6?u28e09<1=:1:=18874}r61f?6=:r7<;<475:?:e0<3=01v9>563;?800396s|43f94?4|5>13>3ty?>h4?:2y>712=<<301>:<:97896c121?0q~:=f;296~;4;00?94527969<7=z{=9;6=4={<10f?22127<4:472:p067=838p156i3297p};3383>7}:;:n18874=6:b>=48?7>52z?:=5;00m03>6s|42794?2|503h69;6;<5eg?>434=mi76<;<5eb?>43ty??;4?:2y>0?94523259<7=:0o=14?5rs51;>5<5s4?oh7::9:?6`f750;1x9=bb2=?2706i9;:1?8>ai3297p};3`83>7}:0ml18874=9d`>=48n7>52z?;a5<3=0164kk5839~w15d2909w06j1;66=>;>8903>6s|42f94?5|503h65=4=8;7>13>3432976>;|q77`<72;q64h<544;89<652180q~:;6=4={<:`a?221278?5472:p017=838p15mi:57:?854i3297p};4383>7}:0m:18874=21`>=4??7>52z?5ad<3=016:h65849~w1232909w06k1;66=>;4;l03>6s|45794?4|51::69;6;<;:g?>23ty?8;4?:3y>=v369d8;6>;>1m0?945rs56;>5<5s42ho76=;<54b?2212wx89750;0x9=b021801:7;:57:?xu3707<1;66=>{t<=n1<7=4<5>3o69;6;|q70`<72;q64h?5839>3d6=<<30q~:;f;296~;?m;03>638a2871<=z{=?;6=4={<:``?>534=3>7::9:p007=838p15mj:90892>22=?27p};5383>7}:0jl14?5279:900?>?7>52z?;`5>56s|44794?4|51n969;6;<;32?>53ty?9;4?:3y>7:908yv2f13:1?vP;a89>0dg=<<3019o6:5c7?xu3j;0;6>uQ4c0891de2=?270:m2;6b0>{t>563;b287e1=z{=h?6=4<{_6a0>;3jm0?94524c690d2i97>53z\7f0=:1g33ty?n;4?:2y]0g0<5=hm69;6;<6a2?2f<2wx8o950;1xZ1d034>h<7::9:?7f2<3i=1v9l7:180[2e027?o<4;589>0g>=0q~:ma;297~X3jh168n<544;891df2=k?7p};c483>7}:?k=18k52dd871<=z{=i=6=4={<5``?2a34lm69;6;|q7g2<72;q64>m54g9>54`=<<30q~:l8;296~;>>o0?j63>3g871<=z{=i26=4={<5a=?2a34nm69;6;|q7gd<72;q6;nh54g9>556=<<30q~:lb;296~;?;l0?j63>21871<=z{=ih6=4={<;45?2a34;?<7::9:p0fb=838p1:l7:5d89`6=<<30q~:le;296~;0kl0?j63>00871<=z{=im6=4={<:0`?2a34;9=7::9:p0a6=838p149?:5d894262=?27p};d083>7}:?kk18k52e0871<=z{=n96=4={<5g4?2a34;;>7::9:p0a5=838p15=i:5d894452=?27p};d583>7}:1>818k52150900?o97>52z?4fg<3n27n>7::9:p0a0=838p1:j>:5d894642=?27p};d683>7}:0=:18k52131900?o47>52z?:36<3n27:8>4;589~w1b>2909w09mc;6e?8c42=?27p};d`83>7}:?m818k52116900?on7>52z?;04<3n27:>94;589~w1bd2909w0784;6e?873<3>>56s|4ef94?4|5>ho69h4=d6900?oi7>52z?4`6<3n27:<84;589~w1ba2908w06;2;6e?875=3>>56374287b>{t1`<58>>69;6;<;42?2a3ty?i<4?:3y>3gc=3>>56s|4d194?4|51>?69h4=005>13>3ty?i94?:3y>=21=v38bg87b>;b>3>>56s|4d494?4|5>n>69h4=024>13>3ty?i:4?:3y><13=v367987b>;6<>0?945rs5g:>5<5s4=h<7:i;13>3ty?il4?:3y>3a0=v374787b>;6:10?945rs5g`>5<5s43<57:i;<37v38d687b>;6800?945rs5ge>5<5s42?;7:i;<31=?2212wx8k>50;0x9<1f2=l01<:6:57:?xu2890;6>u2512900?<5<:i69=4=407>1553z?644<3=0169=<5429>14d=<:1v8>=:180837:3>>563:02877>;29j0??6s|51194?5|5<:869;6;<730?2434?:h7:<;|q641<72:q69=:544;890622=9018?j:518yv37=3:1?v3:04871<=:=9<18>5250d906=z{<:=6=4<{<732?22127><:4;3:?665<3;2wx9=950;1x90602=?270;?8;60?83593>87p}:0983>6}:=9218874=42:>15<5<8969=4}r73=?6=;r7><44;589>15g=<:169?=5429~w06f2908w0;?a;66=>;28j0??63:24877>{t=9h1<7=t=42a>13>34?:<7:<;<71e?243ty>15e=<<3018>k:51890412=90q~;?d;297~;28m0?945251g906=:=;=18>5rs42f>5<4s4?;i7::9:?64c<3;27>>54;3:p15`=838p18>i:57:?83513>87p}:1183>6}:=8:18874=432>15<5<8i69=4}r725?6=;r7>=<4;589>144=<:169?m5429~w0752908w0;>2;66=>;29:0??63:2e877>{t=891<7=t=430>13>34?:87:<;<71a?243ty>=94?:2y>142=<<3018?::518904a2=90q~;>5;297~;29<0?9452504906=:=::18>5rs435>5<4s4?::7::9:?652<3;27>?<4;3:p141=839p18?8:57:?83793>870;>a;60?xu2910;6>u251290c7<5<;26ll4=43;>13>3ty>??4?:3y>164=<<3018=::518yv34;3:1>v3:32871<=:=:818k?4}r701?6=:r7>?84;589>160=<:1v8=9:181834>3>>563:39877>{t=:=1<713>34?8:7:i1:p16>=838p18=7:57:?83413>87p}:3883>7}:=:318874=41b>1552z?67d<3=0169>l5429~w05e2909w0;;2;j0??6s|52a94?4|5<9h69;6;<70`?243ty>?i4?:3y>16b=<<3018=j:518yv34m3:1>v3:3d871<=:=:>18>5rs41e>5<5s4?8j7::9:?671<3n81v8:?:18183383>>563:3487b4=z{<>:6=4={<775?22127>?54;f09~w0252909w0;;2;66=>;2;00?j<5rs460>5<5s4???7::9:?67d<3n81v8:;:181833<3>>563:3c87b4=z{<>>6=4={<771?22127>?n4;f09~w0212909w0;;6;66=>;2;m0?j<5rs464>5<5s4??;7::9:?67`<3n81v8:7:182f~;2>:0?mh5257690dc<5<;;4;ad9>121=ji63:7`87e`=:=>h18lk4=446>1gb34?=:7:ne:?622<3il169;654`g8900>2=kn70;9a;6ba>;2>k0?mh5257a90dc<5<;=4;ad9>127=ji63:7587e`=:=j?18lk4=46:>13>3ty>8l4?:3y>135=i6=4<{<751?2fl27>8?473:?6=3?65<4=41a>07<5<3j65<4}r77a?6=;r7>:54;ae9>113=0;1694m5839~w02a2908w0;99;6b`>;2<<03?63:9d8;6>{t=<:1<7=t=44b>1gc34??:76=;<7b4?>53ty>9<4?:2y>13d=j0?mi525549<1=:=h>14?5rs470>5<4s4?=h7:nd:?603m;472:p102=839p188j:5cg?833?32970;n8;:1?xu2=k0;6>u257d90db<5<>;65<4=4`5>=4:7>53z?635<3im169995829>1de=0;1v8;8:18083093>jh63:468;0>;2il03>6s|54:94?5|5<=969ok;<773?>234?i<76=;|q61<<72:q69:=54`f8905a218018l=:908yv32i3:1?v3:7587ea=:=:l14>525c69<7=z{8=473:?6f=6}:=>=18lj4=462>=4<5;54;ae9>117=0:169ok5839~w0072908w0;89;6b`>;2<803863:c18;6>{t=?;1<7=t=45b>1gc34??=76:;<7`6?>53ty>:?4?:2y>12d=:0?j63:18871<=z{<=o6=4={<751?2a34?:m7::9:p12c=838p1889:5d8907e2=?27p}:7g83>7}:=?=18k5250a900?52z?62=<3n27>=i4;589~w0>62909w0;99;6e?836m3>>56s|59094?4|5<13>3ty>4>4?:3y>13d=544;8yv3?<3:1>v3:6b87b>;2:80?945rs4:6>5<5s4?=h7:i;<716?2212wx95850;0x900b2=l018<<:57:?xu20>0;6?u257690c=:=;>18874}r7;;=4;f:?660<3=01v866:18183093>m70;=6;66=>{t=1k1<71`<5<8<69;6;|q617>=<<30q~;7c;296~;2?=0?j63:28871<=z{<2o6=4={<75b?2a34?9m7::9:p1=c=838p189::5d8904e2=?27p}:8g83>7}:=><18k5253a900?52z?632<3n27>>i4;589~w0?62909w0;88;6e?835m3>>56s|58094?4|5<=269h4=40e>13>3ty>5>4?:3y>12g=>544;8yv3><3:1>v3:7c87b>;2;80?945rs4;6>5<4s4?;=7:i1:?65d5;4;589~w0?02908w0;?2;6e5>;29k0jn63:99871<=z{<326=4<{<737?2a927>=n4nb:?6=d<3=01v87m:180837<3>m=63:1e8bf>;21j0?945rs4;g>5<4s4?;97:i1:?65`5h4;589~w0?a2908w0;?6;6e5>;29o0jn63:a1871<=z{>=4nb:?6e7<3=01v8o<:18083703>m=63:208bf>;2i=0?945rs4c6>5<4s4?;57:i1:?667m;4;589~w0g02908w0;?a;6e5>;2::0jn63:a9871<=z{>94nb:?6ed<3=01v8om:180837k3>m=63:248bf>;2ij0?945rs4cg>5<4s4?;h7:i1:?663mh4;589~w0ga2908w0;?e;6e5>;2:>0jn63:b1871<=z{>54nb:?6f7<3=01v8l<:18183513ki70;m4;66=>{t=k?1<7=t=433>1`634?9m7om;<7a2?2212wx9o950;1x90762=l:70;=b;ca?83e03>>56s|5c;94?5|5<;969h>;<71g?ge34?im7::9:p1gd=839p18?<:5d2?835l3ki70;mc;66=>{t=kn1<7=t=437>1`634?9i7om;<7aa?2212wx9oh50;1x90722=l:70;=f;ca?83d83>>56s|5b394?5|5<;=69h>;<704?ge34?h>7::9:p1f5=839p18?8:5d2?83493ki70;l4;66=>{t=j?1<7=t=4a6>1gc34>jm76>;<7`63ty>o;4?:0`x90e22=8018=;:57:?83e<32870;m2;:0?83e832870;ne;:0?83fk32870;n8;:0?83f>32870;n4;:0?83f:32870;n0;:0?83>m32870;6c;:0?83>i32870;68;:0?83>>32870;l4;:0?83d:32870;l0;:0?83em32870;mc;:0?83ei32870;m8;:0?83e>32870;na;:0?83603287p}:c683>7}:=j?18k525b:900?7>54cy>33?=<916;;o5419>33d=<916;:85419>321=<916;:65419>32?=<916;i75419>==d=<9164:>5419><27=<9164:l5419><2e=<9164:j5419><2c=<9164:h5419><=6=<91645?5419><=4=<9164:<5419><25=<9164::5419><23=<9164:85419><21=<9164:65419><2?=<9164:o5419>3g1=<916;o65419>3gg=<916;ol5419>3ge=<916;oj5419>3gc=<916;oh5419>3f6=<916;n?5419>3g?=<916;nj5419>3fc=<916;i>5419>3a7=<916;i<5419>3a5=<916;i:5419>3a3=<916;i85419>3a1=<916;nh5419><6e=<9164>j5419><6`=<91649>5419><17=<91649<5419><12=<91649;5419><10=<9164995419><6c=<9165;h5419>=26=<9165:<5419>=25=<9165::5419>=23=<9165:95419>=2>=<9165:75419>=2g=<9165:?5419>=20=<91649=5419>1a2=<<30q~;k3;296~;2l;0?mi525e69<4=z{h54;589~w0b02909w0;k7;66=>;2l103?6s|5ec94?4|5=752z?6`d<3il169ik544;8yv3cn3:1>v3:e1871<=:=l814>5rs4g3>5<5s4?n=7::9:?6a787p}:e583>6}:=l>18874=4g5>15<5i84;589>1`2=3:1?v3:e7871<=:=l218>525g;906=z{i;4;f09>1c>=ik1v8k7:18083b03>>563:e`877>;2nh0??6s|5d;94?5|5j44nb:p1`g=839p18kn:57:?83bk3>870;ib;60?xu2mk0;6>u25d`900?<5;<7ee?ge3ty>in4?:2y>1`e=<<3018kj:51890`d2=90q~;jd;297~;2mm0?94525da90c7<5ih4;589>1c6=<:169kj5429~w0ca2908w0;jf;66=>;2ml0?j<525ga9eg=z{j?4;3:?6b`<3;2wx9k?50;1x90`62=?270;i0;6e5>;2nm0jn6s|5g094?4|5j>4?:2y>1c5=<<3018h=:5d2?83am3ki7p}:f583>6}:=l918k?4=4d5>dd<569;6;|q545<72:q6:=>544;893662=901;?;:518yv0793:1?v3900871<=:>9918>52607906=z{?:96=4<{<436?22127=<<4;f09>242=ik1v;><:180807;3>>563904877>;19?0??6s|61694?5|5?:?69;6;<437?2a927==84nb:p253=839p1;>::57:?807?3>8708>7;60?xu18?0;6>u2614900?<5?:>69h>;<422?ge3ty=<:4?:2y>251=<<301;>6:518937?2=90q~8?8;297~;1810?945261590c7<5?;<6ll4}r43=?6=;r7=<44;589>25d=<:16:<75429~w36f2908w08?a;66=>;1800?j<5260:9eg=z{?:i6=4<{<43f?22127=;1900jn6s|61f94?5|5?:o69;6;<43b?2434<:n7:<;|q54`<72:q6:=k544;8936c2=l:708>a;ca?xu18o0;6?u261d900?<5?;h69=4}r424?6=;r7===4;589>25`=891mo52600900?53z?55a<3=016:267=<:1v;?j:180806m3>>563921877>;1;;0??6s|60d94?5|5?;m69;6;<42a?2a927=?<4nb:p276=839p1;8708<3;60?xu1:80;6>u2633900?<5?8;69h>;<406?ge3ty=>?4?:2y>274=<<301;<;:51893532=90q~8=3;297~;1::0?945263090c7<5?986ll4}r410?6=;r7=>94;589>270=<:16:>;5429~w3422908w08=5;66=>;1:=0?j<526269eg=z{?8=6=4<{<412?22127=>54;3:?573<3;2wx:?950;1x93402=?2708=6;6e5>;1;<0jn6s|63:94?5|5?8369;6;<41e?2434<8;7:<;|q56<<72:q6:?7544;8934?2=l:708<6;ca?xu1:h0;6>u263c900?<5?8h69=4=71;>1553z?56g<3=016:?o54g3893502hh0q~8=c;296~;1:j0?945262;906=z{?8o6=4<{<41`?22127=>n4;f09>26>=ik1v;m=639318bf>;1:o0?945rs71b>5<5s4<8m7::9:?57<l50;1x935e2=?270887p}93b83>6}:>:i18874=71f>15<5??;69=4}r40`?6=;r7=?i4;589>26e==:18>52643906=z{?9m6=4<{<40b?22127=?h4;f09>206=ik1v;:?:18080383>>563943877>;1=;0??6s|65394?5|5?>:69;6;<474?2a927=9<4nb:p214=839p1;:=:57:?803<3>8708:3;60?xu1<:0;6>u2651900?<5?>969h>;<466?ge3ty=894?:2y>212=<<301;:9:51893332=90q~8;5;297~;1<<0?945265690c7<5??86ll4}r472?6=;r7=8;4;589>21>=<:16:8;5429~w3202908w08;7;66=>;136=4<{<472=?2708;8;6e5>;1=<0jn6s|65c94?4|5?>j69;6;<463?243ty=8o4?:2y>21d=<<301;:n:5d2?802>3ki7p}94b83>6}:>:h18k?4=76f>dd<5?>o69;6;|q51=<72;q6:86544;893302hh0q~8:9;297~;1=00?945264`906=:>>;18>5rs77b>5<4s4<>m7::9:?51<<3n816::>5ac9~w33e2908w08:b;66=>;1=j0??63973877>{t>13>34<>h7:<;<447?243ty=9i4?:2y>20b=<<301;;i:51893132=90q~8:e;297~;1=l0?945264f90c7<5?=86ll4}r46b?6=;r7=9k4;589>236=<:16::;5429~w3072908w0890;66=>;1>80??63977877>{t>?;1<7=t=742>13>34<=?7:<;<443?243ty=:?4?:2y>234=<<301;8>:5d2?800>3ki7p}96283>7}:>?918874=75;>1553z?51g<3n816::?5ac9>233=<<30q~896;297~;1=j0?j<526609eg=:>?=18874}r45222=ik16:;7544;8yv01i3:1?v396187b4=:>>?1mo5267`900?53z?526<3n816::95ac9>23b=<<30q~89e;296~;1?10jn6396g871<=z{?=26=4<{<44=?22127=;o4;3:?5=7<3;2wx::o50;1x931f2=?270889;6e5>;1180jn6s|66`94?5|5?=i69;6;<44g?2434<2?7:<;|q53f<72:q6::m544;8931c2=901;7;:518yv00l3:1?v397e871<=:>>o18>52687906=z{?=n6=4<{<44a?22127=4=4;3:?5=3<3;2wx::h50;1x931a2=?27088e;6e5>;11<0jn6s|69294?5|5?2;69;6;<4;5?2434<2;7:<;|q5<4<72:q6:5?544;893>52=901;77:518yv0?:3:1>v3983871<=:>0318>5rs7:0>5<4s4<22908w088c;6e5>;11:0jn63987871<=z{?2<6=4<{<44`?2a927=594nb:?5<=<3=01v;66:18080?83>m=639978bf>;10h0?945rs7:a>5<4s4<3=7:i1:?5=2c2908w0872;6e5>;1110jn6398d871<=z{?2m6=4={<4:=?ge34<2<7::9:p2p1::::91890bd2=?270;j0;:7?83b93287p}99c83>6}:?=?14?526d4900?<5?o265=4}r4:g?6=2`2=0:16;9<5829>2g0=0;1v;7k:18080b;3>>5639ec8;0>;2m;0?j<5rs7;f>5<>s4>in76>;<6ag?>634>ih76>;<6aa?>634>ij76>;<6`4?>634>h=76>;<4:b?2fl27?o?471:p2d6=838p1:=8:5d8932b2=?27p}9a083>7}:?;918k52622900?7>52z?47=<3n27=8k4;589~w3g42909w09=4;6e?80493>>56s|6`694?4|5>9269h4=773>13>3ty=m84?:3y>373=<544;8yv0f>3:1>v383`87b>;1=80?945rs7c4>5<5s4=9:7:i;<407?2212wx:l650;0x925e2=l01;;=:57:?xu1i00;6?u273590c=:>:>18874}r4be?6=:r7m708<5;66=>{t>hi1<71`<5???69;6;|q5ea<72;q6;?754g9>260=<<30q~8ne;296~;0;l0?j63954871<=z{?km6=4={<51e?2a34<8;7::9:p2g6=838p1:=i:5d893312=?27p}9b083>7}:?;h18k5262:900?7>52z?405<3n27=9:4;589~w3d42909w09=c;6e?80413>>56s|6c694?4|5?h?69ok;<5763ty=n84?:3y>2g2=ji6399g87e`=:>kk18874}r4af?6=>r79>?472:?152<29279;;473:?5g0<3im164<4c=0:1v;ll:185845;32970<>9;72?840?328708l6;6b`>;?9m03?6371d8;1>{t>kn1<79t=307>=4<5;;j68?4=35;>=5<5?i<69ok;<:14?>2342:h76:;<:2a?>33ty=nh4?:6y>673=0;16>62?=0:16:n654`f89=4721>015?k:9089=7b2180q~8mf;292~;5:?03>63=1b865>;5?h03?639c887ea=:0;:14>5280d90c756z?162<76=0;164jh637238;7>;?::0396s|6b094?3|5;8265<4=33e>07<5;=o65=4=7a`>1gc3429:76;;|q5g6<72?o5839>676==816>:k5829>2fb=;5:80>=63=7g8;7>;1kl0?mi528309<7=:0;91495rs7ae>5<5s450;0x93e12=l018h8:57:?xu1l80;6?u26b590c=:=o218874}r4g6?6=:r7=o54;f:?6b<<3=01v;j<:18180d13>m70;ia;66=>{t>m>1<71`<51ce=<<30q~8k6;296~;1kj0?j63:fe871<=z{?n<6=4={<4``?2a34?mi7::9:p2a>=838p1;mj:5d890`a2=?27p}9d883>7}:>>:18874=4g6>=452z?534<3=0169h95839~w3be2909w0882;66=>;2m003>6s|6ea94?4|5?=869;6;<7ff?>53ty=hi4?:3y>222=<<3018kk:908yv0cm3:1>v3974871<=:=ll14?5rs7fe>5<5s4<<:7::9:?6b450;0x93102=?270;i3;:1?xu1m80;6?u266:900?<52f0=<;16:n95439>2f>=<;16:n75439>2fg=<;16:nl5439>2fe=<;16:nj5439>2fc=<;16:km5439>2cb=<;16:kk5439>2c`=<;16;=>5439>357=<;16;=<5439>355=<;16;=:5439>353=<;16:h;544;8yv0b<3:1?v39e5871<=:>l?14?526cc9<7=z{?o<6=4={<4f3?22127=i4472:p2`?=839p1;k::91893c>2=?2708ma;:7?xu1mk0;6?u26d`900?<5?oh65=4}r4fg?6=;r7=in4;589>2`3=0<16:oo5829~w3cc2909w08jd;66=>;1n803>6s|6dg94?4|5?l:65:4=7d3>13>3ty=ik4?:3y>2``=<<301;h?:908yv0a93:1?v39f0871<=:>l?149526cc9<0=z{?l96=4l{<3e534;nh7;>;<07g?>4348;h76=;<036?36348==76<;<003?>53489o7;>;<0;f?>4348472:p2c5=83np1;:438970521901?=7:908974b2<;01?6l:91893`c2=ko706>a;:6?8>5=328706=f;:7?xu1n=0;6hu21gc9<7=:9o:19<5225g9<6=::9l14?52217914=::?914>5222;9<7=::;l19<5229f9<6=:>oo18lj4=93b>=5<518>65:4=90e>=3<519;65=4}r4e1?6=kr7:jo472:?2b4<292798k473:?155<7d=0;1v;h9:18a87ak32970?i2;72?842832870<>1;:1?847?3?:70<95;:0?844j32970<<1;72?84?n328709?0;6b`>;?:j0?j<5rs7d4>5;<035474:?2ba=83np12<;01?=k:90897542<;01?7>:91892652=ko706=d;:0?87am32970<>3;:1?8>5<32870<:2;:7?841?32?706=e;6e5>{t>o31<7kt=0d6>07<5;:j68?4=31f>=4<5;9?68?4=3;1>=5<5>:869ok;<:1`?>534;mj76=;<020?>53429876;;<067?>3348=476;;<:1b?>53428<76;;|q5bd<72lq6>=>5839>5c0==816>8:5829>643=0;16>=l5509>63?=0:16>>h5839>663==816>4=5829>352=63>f6865>;59?03>63=0b865>;5<903>63=37865>;51=03?6380487ea=:0;2148522479<1=::?k1495rs625>5<5s48?18874}r53=?6=:r7=jk4;f:?553<3=01v:>n:18181783>m708>7;66=>{t?9h1<71`<5?;369;6;|q44f<72;q6;=<54g9>24?=<<30q~9?d;296~;08:0?j6391`871<=z{>:n6=4={<530?2a34<:n7::9:p35`=838p1:>::5d8937d2=?27p}81183>7}:>0;18874=721>=452z?5=7<3=016:=:5839~w2752909w0863;66=>;18?03>6s|70194?4|5?3?69;6;<4353ty<=94?:3y>2<3=<<301;>n:908yv16=3:1>v3997871<=:>9i14?5rs635>5<5s4<2;7::9:?54`0;:1?xu0910;6?u268;900?<5?;h6ll4}r52=?6==r7<>>4;ae9>1ab=0;16:h=5829>1c3=0816:?h5809~w27f290>w09=4;6b`>;2lj038639e28;6>;19o03>6395`8;6>{t?8h1<78t=606>1gc34?oo76<;<4f7?>234534<=976>;|q45f<72?q6;?854`f890bd21801;k<:96893c021?01;<<:908930021;0q~9>d;293~;0:>0?mi525ef9<1=:>l=14?526d`9<6=:>;?14?5264g9<7=:=l;1485rs63f>5:472:?52<i=472:?6a42=ko708j6;:0?80bj329708=9;:1?801j32:70;j0;:0?83b93297p}82183>3}:?;k18lj4=7g5>=2<5?oh65<4=70a>=4<5?<965<4=4ff>=457z?46g<3im16;9;5859>2`e=0=16:?j5839>1ac=0:16:h75859>23b=081v:<=:184815k3>jh639e78;6>;1mj0396393`8;6>;2ll038639e88;1>;1>o03=6s|73f94?1|5>9<69ok;<7g334<:>76>;<47`?>634=?>76;;<4a2?>334654`f890b021901;k;:968935c21801;9n:908922521?01;l9:91893ca21>0q~9=f;292~;0;00?mi525e59<0=:>lk1495262d9<7=:>1>14<526dd9<0=z{>9;6=47{<50e?2fl27>h:474:?5a1m=6s|72094?0|5>9h69ok;<4fe?>534534<53ty4?:7y>36b=21?01;6n:938yv14<3:1:v383d87ea=:>l214>526df9<1=:>=314?525e;9<6=:>1i14<5rs616>5<1s4=8j7:nd:?5a=h4472:?5<`850;:x92272=ko708jd;:1?80b<329708:8;:1?83c132?70860;:2?813;3>m=639b687b4=z{>>:6=4m{<503?2fm2736?=ji6383b87e`=:?:n18lk4=61f>1gb34=8j7:ne:?405<3il16;9=544;8yv13:3:1>v3843871<=:?=914>5rs667>5<6=r7>4;ad9>372=3>ji6382687e`=:?;218lk4=60:>1gb34=9m7:ne:?46g<3il16;?m54`g892222=?27p}84683>6}Y?==01::7:57:?813?3>j86s|77494?4|5><269ok;<55`?>53ty<::4?:3y>33g=v386c87ea=:?>;14?5rs64`>5<4s4==57:i;=5<5>`=21901io5829>`g=5<5m>14>52763900?<50=m65:4=96`>=27>53z?433<3im16=9o5809>b26s|76794?>|5>=269ok;=2<58>o65?4=gc9<6=:nk03?63ic;:0?8`c21901kk5829~w21f290:nv3877876>;0?>0?>63879876>;0?00?>6362g8;0>;0?m0?94528ef9<6=:n=03?637098;7>;>8?03?636098;7>;?m<03?637e68;7>;?m003?637ec8;7>;?mm03?637eg8;7>;?n803?63i7;:7?8`?21>01k75859>bd=2<5on14952fd8;0>;>1803?6s|76`94?`|5>=i69;6;<54`?>2342ho76:;<:g3?>2342oi76:;<:gb?>2342n<76:;<:f5?>2342n>76:;<:``?>2342hi76:;<:`b?>2342o<76:;<:g5?>2342oo76:;|q43f<72oq6;:m544;8921c219015ml:9189=b0219015jj:9189=ba219015k?:9189=c6219015k=:9189=ec219015mj:9189=ea219015j?:9189=b6219015jl:918yv1f=3:1>v387c8;1>;0i?0?945rs6c;>5<5s4=<:7:i;<37e?2212wx;l750;0x92102=l01<:m:57:?xu0ih0;6?u276:90c=:9=i18874}r5bf?6=:r7<;44;f:?20a<3=01v:ol:18;81e?3>jh63<068;6>;48103>63=238;7>;5??03>63=6c865>;d1329705<3s4=i57:nd:?g0?>534;3m76=;<1:e?>63ty3gg=521=0;16?4m5809>`350;7x92de2=ko70j8:9089fb==816?4<5839>5=>=081v:l>:18681ek3>jh63k8;:1?8eb2<;01<9n:90896?b21;0q~9m2;291~;0jm0?mi52d88;6>;dn3?:70?8c;:1?85f832:7p}8b283>0}:?ko18lj4=ec9<7=:l90>=63>7d8;6>;4i;03=6s|7c694?3|5>hm69ok;=4<5m;19<521929<7=:;h>14<5rs6`6>5<2s4=h<7:nd:?gg?>534n968?4=0:1>=4<5:k=65?4}r5a2?6==r7`a;<3;0?>5349j476>;|q4g7<72lq6;nj54`f8963421901>hm:91897ea21801?o6:90897?b2<;01?h>:918967d21901?li:90897d32<;01?=8:91897>e21801?6?:4389`g=0;1v:m;:18481dm3>jh63<548;7>;4nm03?63jb;72?851i32970?97;:2?8`121;0q~9l3;292~;0ko0?mi523469<6=:;oi14>5217`9<7=:n=03>63<748;5>{t?j?1<79t=6f3>1gc349>:76<;<1ea?>434oh68?4=07;>=4<5:=<65?4=g59<6=z{>i=6=48{<5g5?2fl2789:473:?0bc;<15`?>534;=576>;=557z?4`7<3im16?865829>056=0:16ih4:1:?21g43ty3a5=;6:918916621901hh5509>50b=0;16jl472:?03g72b=081v:mn:18481c=3>jh63<5c8;7>;38:03?63i1;72?871932970hl:908961a21;0q~9lb;293~;0l?0?mi5234a9<6=:<9>14>52f3865>;6>:03>63id;:1?85?932:7p}8cb83>2}:?m=18lj4=27g>=5<5=:>65=4=g1914=:9??14?52fd8;6>;40:03=6s|7e:94?5|5>n269ok;<777?>434??876<;|q4`g<72;q6;im544;894dd2hh0q~9kd;292~;0m003?638d`871<=:?lk14>527ea9<1=:?mo14>5227c9<6=z{>nn6=4={<063?2a927>56s|7d294?5|58h869h>;<3`5?ge34=n=7::9:p3`5=838p1?;k:43892c32=?27p}8e583>6}:?l?18874=0`7>1`634;h>7om;|q4a3<72;q6>8k5509>51c=<<30q~9j7;297~;5>003>63=5g865>;6=90?945rs6g;>5<5s48=<7;>;<5f=?2212wx;h750;1x92cf2=?270?m7;6e5>;6k<0jn6s|7da94?4|5>oo69;6;<3b7?ge3ty3cg=0:16;hl544;892`e21901:kk:96892ca21901?;::918yv1bn3:1>v3=4387b4=:?ll18874}r5e4?6=:r798:4:1:?4b6<3=01v:h>:18087>i3>m=63>a98bf>;0n;0?945rs6d7>5<5s48?47;>;<5e1?2212wx;k;50;1x92`12=?270?6b;6e5>;6i00jn6s|7g594?4|5;>268?4=071>13>3ty602=0;16>9o5509>502=<<30q~9i9;296~;5=638f`871<=z{>lj6=4<{<5ef?22127:5h4;f09>5de=ik1v:hl:18b81c13>ji6362g8;6>;0nj0?94528g79<6=:0l3149528d`9<1=:0ln149528dd9<1=:0o;149528g19<1=z{>lo6=4l{<5ea?221273i>474:?2`=:968920a21>014>;:968965f21>01>=l:968965b21>014>9:9689<6?21>015k::9689=c021>01:hi:57:?8>7832:7p}70483>7}:?m318k52814900?52z?4`<<3:273<54;589~w=6>2909w0;?800?945rs92b>5<5s48:976<;<176?2212wx4=l50;0x977121901>:<:57:?xu?8j0;6?u21dg90c7<51:h69;6;|q;4a<72;q6>=>5829>710=<<30q~6?e;296~;58803?63<46871<=z{1:m6=4={<:24?2fl27=5k4;f:p<47=838p16j3287p}71383>7}::9918874=902>=552z?15=<3=0164?95839~w=732909w0<=d;66=>;?:>03?6s|80794?4|5;>969;6;<:2f?>33ty3=;4?:3y>601=<<3015<>:908yv>6?3:1>v3=6b871<=:0;=1495rs93;>5<5s483=7::9:?;62>473:?;5g<3=01v5?l:1818>6l3>>56371g8;7>{t08n1<713>342:j76=;|q;65<72;q64?>544;89=4521>0q~6=1;296~;?:80?94528309<0=z{1896=4={<:16?221273>>472:p<75=838p15<<:57:?8>5>3287p}72583>7}:0;>18874=90b>=252z?;63<3=0164?65839~w=4>2909w06=a;66=>;?:j03?6s|83c94?4|518i69;6;<:1g?>53ty3>h4?:3y><7c=<<3015493:1nv373b87ea=:;<914?5235;914=:;8i14?52303914=::kl14>521g:9<6=::=i14?52253914=:99k19<521069<7=z{1986=4n{<:0`?2fl27898472:?00d<2927:j4473:?10a4:1:?24g<29278n9472:?2535;:1?xu?;=0;6lu282d90db<5:?=65<4=26a>07<58lj65=4=36f>=4<5;>?68?4=02`>07<583=65<4=034>=4<5:i:65?4}r:01?6=ir738=4;ae9>701=0;16?9m5509>5cd=0:16>9h5839>613==816==j5509>7g1=0;16=<65839>5d4=081v5=9:18b8>393>jh63<598;6>;4=63>fb8;7>;5=903>63=47865>;68l0>=63>988;6>;69003>63{t0:=1<7?:{<:76?2fl27:99474:?217a;:1?85303297p}73983>40|51>?69ok;<16e?>5349?j7;>;<324?3634;>876<;<366?>434=m976<;<172?>4349?976<;<367?>434;:n76=;<3ea?>334;mj76:;<066?>4348>?76<;<5ff?>2349?476<;<5e2?>534;>976;;<1`2?>3349h976<;<1`0?>53ty3?44?:00x9=222=ko70=:b;:1?85283?:70?>1;72?872<32>70?:2;:1?853>32>709jb;:7?853=32970=;7;:7?872;32970=l7;:7?85d=32970?>c;:1?87an32870<:3;:1?872=32870=l6;:0?xu?;h0;6hu285490db<5:?h65<4=272>07<58;968?4=077>=4<5:>=65<4=264>=5<58?>65<4=2a4>=5<5:hm65:4=2a5>=4<51:h65:4=03g>=4<5>oi65=4}r:0f?6=mr738:4;ae9>70b=0;16?8<5509>545==816;ko5839>711=0;16;kl5839>3`b=0:16?n95839>7g`=0:164=m5829>3``=0=16=603=0;1v5:7:18f84>03>>56374b8;7>;69=03?63>178;7>;69>03?63>198;7>;69003?63>1`8;7>;69k03?63>1b8;7>;69m03?63>1d8;7>;69<03?6374`8;5>{t0=31<7=t=02b>15<58;m69=4=96b>13>3ty38o4?:ey><6e=<;164>j5439><6`=<;1649>5439><17=<;1649<5439><12=<;1649;5439><10=<;164995439><6c=<;1649m544;89=242=80q~6;d;296~;4=l0?945228;9<7=z{1>n6=4;{<16b?221279554:1:?1=a8?:57:?84>13287p}75183>7}:;?;18874=3;:>=2=7>52z?027<3=016>475849~w=352909w0=93;66=>;51h03>6s|84194?4|5:43ty3994?:3y>733=<<301?7n:968yv>2=3:1>v3<67871<=::0k1485rs975>5<5s49=;7::9:?1=g=n65<4}r:53?6=:r73;<4;ae9>3<5=0;1v5;j:1808>0:3>jh63<3c8;7>;?l=03>6s|84d94?5|51=869ok;<10`?>4342o976=;|q;25<72:q64::54`f8965c218015j9:908yv>193:1?v377487ea=:0m214?5279c9<1=z{1<96=4<{<:42?2fl273h4472:?4ci3297p}76583>6}:0>218lj4=21e>=4<51ni65<4}r:51?6=;r73;44;ae9>3=b=0=16;4>5839~w=012908w068a;6b`>;?lm03>6388e8;7>{t0?21<71gc34=2:76=;|q;2<<72:q64:m54`f8965>21901:76:908yv>1i3:1?v377e87ea=:;:314?5278a9<7=z{16}:01:18lj4=21a>=4<5>2:65<4}r:5a?6=;r734<4;ae9>;?l:03>638868;7>{t0191<79t=04b>13>348m>76=;<0f3?36349:h76=;<126?363423976>;<0g1?>63ty3494?:3y>6=7==5<5:;n65=4=3:`>=4<5;2968?4}r:;3?6=>r7::i4;589>6f`=0=16>k:5829>74`=0:16>5j5839>6=5==81v567:185871m3>>563=d18;6>;5n<03?63<218;7>;50l03>63=85865>{t0131<78t=04e>13>348o<76<;<0e2?>43499=76<;<0;b?>5348397;>;|q;544;897b721>01?h8:918964521901?7?:90897>12<;0q~67b;292~;6?80?94522e39<7=::0;14?52295914=::o214?523319<7=z{12h6=49{<346?221279h<473:?1=79472:p<=b=833}:9>>18874=3;7>=4<5;2j68?4=3f0>=7<5;li65<4=205>=458z?2<<<3=016>ik5509>=65=0;1655h5829><<7=0816>h;5809>=85829~w=?72909w0<9c;6e5>;?180?945rs9;1>5<3s4;3n7::9:?1a4d2=?270<3297p}79583>0}:91n18874=3g2>=2<5;=265<4=34e>07<503?65=4}r::1?6==r7:4h4;589>6`4=0;16>:o5839>626==81654:5859~w=?1290>w0?7f;66=>;5m;03?63=7c8;6>;5?80>=636958;1>{t00=1<78t=0;3>13>3485342;>76=;|q;==<72>q6=4?544;897c421801?9k:90897142<;015>>:9089<53218015>=:968yv>>13:1;v3>93871<=::l914>5226g9<7=::>>19<528139<6=:1:>14>528109<6=z{13j6=46{<3:7?221279;k472:?130<29272?>473:?:d;:0?xu?1j0;6>u21`6900?<5:;n65<4=230>0753z?2e0<3=016?742==81v57j:18087f>3>>563<218;6>;49<0>=6s|88d94?5|58k<69;6;<115?>5349::7;>;|q;e5<72:q6=l6544;8964521801>?8:438yv>f93:1?v3>a8871<=:;8219<523319<6=z{1k96=4<{<3be?221278=44:1:?061a;72?855=3287p}7a583>6}:9hi18874=23a>07<5:8=65=4}r:b1?6=:r7:nn4;589>6c4=0:1v5o9:18087el3>>563=f28;6>;5m10>=6s|8`594?5|58hn69;6;<0e0?>5348n57;>;|q;e=<72:q6=oh544;897`221801?kn:438yv>f13:1?v3>c1871<=::o<14?522d`914=z{1kj6=4<{<3`5?221279j:472:?1af<292wx4ll50;1x94e52=?2706}:9j918874=3gf>07<5;l265=4}r:b`?6=;r7:o94;589>6``==816>ko5829~w=gb2908w0?l5;66=>;5n90>=63=fc8;7>{t0hl1<713>343:o76=;|q;f5<72;q6=io544;89<4d21>0q~6m1;296~;6lk0?945293c9<1=z{1h96=4={<3gg?221272>5474:p32?7p}7b583>7}:9mo18874=807>=252z?2`c<3=0165?<5859~w=d12909w0?j0;66=>;>:90386s|8c594?4|58o:69;6;<;2a?>33ty3n54?:3y>5`4=<<3014>n:968yv>e13:1>v3>e2871<=:18k14?5rs9`b>5<5s4;n87::9:?:5=6;:1?xu?jj0;6?u21d4900?<50;?65=4}r:a`?6=:r7:i:4;589>=44=0:1v5lj:18187b03>>5636118;0>{t0kl1<713>343;i76;;|q;g5<72;q6=ho544;89<6d21>0q~6l1;296~;6mk0?945293g9<1=z{1i96=4m{<;1b?>4342m976=;<:f=?>2342nn76:;<:f`?>2342nj76:;<:e5?>2342m?76:;<;b6?221272m>471:?:=41}::k?18874=925>=4<50k965:4=8c7>=25fz?1g`<3=016;:j5859><`6=0=164h?5859><`4=0=164nj5859>>5638fb8;6>;0nl03>636988;6>;0no03>6s|8b594?3|5;o<69;6;<5eg?>234=mi76:;<;:=?>334=mj76:;|q;g=<72=q6?=;544;89=6121>014o=:9089475:?;`7475:?;`1=?:5d2?8>b;3>>56s|8d694?5|58i<69h>;<3ge?ge342n97::9:p<`0=839p1{t0l21<7=t=0a:>1`634;oo7om;<:f=?2212wx4ho50;1x94ef2=l:70?kd;ca?8>bj3>>56s|8da94?5|58ii69h>;<3ga?ge342nh7::9:p<`c=839p1{t0o:1<7=t=0ag>1`634;n<7om;<:e5?2212wx4k<50;1x94eb2=l:70?j1;ca?8>a;3>>56s|8g694?4|58o96ll4=9d6>13>3ty3j;4?:2y>5f`=7}:;:818k?4=9d:>13>3ty3j44?:2y>5a6=6}:9m;18k?4=0g6>dd<51lh69;6;|q;ba<72:q6=i<54g3894c12hh015hj:57:?xu?no0;6>u21e190c7<58o<6ll4=823>13>3ty2<<4?:2y>5a2=6}:9m?18k?4=0g:>dd<50:?69;6;|q:40<72:q6=i854g3894cf2hh014>9:57:?xu>8>0;6>u21e590c7<58oi6ll4=82;>13>3ty2<44?:3y><2g=v378087b>;>8j0?945rs82g>5<5s423<7:i;<;3a?2212wx5=h50;0x9=1a2=l014??:57:?xu>980;6?u286g90c=:18818874}r;27?6=:r73;i4;f:?:51<3=01v4?::1818>0k3>m707>6;66=>{t18=1<71`<50;369;6;|q:5<<72;q64:?54g9>=4g=<<30q~7>b;296~;??90?j6361b871<=z{0;o6=4={<:4=?2a343:i7::9:p=4`=838p1597:5d89<472=?27p}62083>7}:0>=18k52930900?52z?;33<3n272>94;589~w<422909w0685;6e?8?5>3>>56s|93594?4|51=?69h4=80;>13>3ty2>44?:3y><25=v377387b>;>:j0?945rs80g>5<5s423>7:i;<;1a?2212wx5?h50;378>083>970681;61?8>0j3>97068c;61?8>0l3>97068e;61?8>0n3>970670;61?8>?93>970672;61?8>0:3>970683;61?8>0<3>970685;61?8>0>3>970687;61?8>003>970689;61?8>0i3>9707=f;66=>{t1:91<7?8{<:353438?7::9:?;b0473:?:75650;3185?<3>>563=a`8;6>;5k903>6363`8;5>;0?m03>6387d8;7>;01:03?638978;7>;01003?6389b8;7>;01o03?638a38;7>;00803?638858;1>;00>0396388`8;1>;00m039638918;7>{t1:31<71`63438m7::9:p=6d=83=p1>6::57:?84f83?:70;j0;6:u2394900?<5;k:68?4=3`4>07<5;9265=4=3c`>=5<5;i965=4=6c6>=557z?0<2<3=016>l<5509>6g>==816>>o5829>6db=0:16>n=5829>3d3=0=1v4=j:18485?03>>563=a2865>;5j00>=63=3c8;7>;0?k03>63=ad8;7>;5k=03?6s|92d94?1|5:2269;6;<0b0?36348im7;>;<00g?>434=4348h976<;|q:05<72>q6?5o544;897d721801?o::43897e121801?lm:438975c21901:9l:908yv?393:1;v3<8c871<=::k;14?522`4914=::j=14?522ca914=:::o14>5276`9<1=z{0>96=48{<1;g?221279n?472:?1e2<29279o5472:?1fa<29279?k473:?43gc2=?2702}:;h318874=226>07<5::=68?4=8;f>=2<50>=65?4=233>=7<5::m65?4}r;71?6=:r79=54;f09>=10=<<30q~7;7;291~;4ih0?94523159<6=:;9214>522319<6=:10n14?5rs86;>5<2s49jn7::9:?04<ol:57:?857132870=?8;:6?845=3287076d;:7?xu>=4<5;8=65=4=8;g>=355z?0e`<3=016?=75849>75g=0:16>?95829>=>563<0c8;6>;48h03863=298;7>;>1o03>6s|95f94?3|5:h;69;6;<13f?>4349;m76:;<01=?>43432j76<;|q:0`<7201>>l:908974f2190147i:968yv?3n3:19v35298d9<0=z{0?;6=4<{<1`2=?270=;0;6?u23bc900?<5;i965<4}r;67?6=:r78oo4;589>6f5=0;1v4;;:18185dk3>>563=c58;6>{t113>348h976=;|q:13<72;q6?nk544;897e12190q~7:7;296~;4ko0?94522b59<6=z{0?36=4={<1g4?221279o5473:p=0?=838p1>j>:57:?84d13287p}65`83>6}:;l=18874=3cb>=5<5;3m68?4}r;6f?6=:r78i54;589>6dd=0;1v4;l:18185b13>>563=ab8;6>{t113>348jh76=;|q:1`<72;q6?hl544;897gb2180q~7:f;296~;4mj0?94522`d9<7=z{0<;6=4={<1f`?221279n=473:p=37=838p1>kj:57:?84e93287p}66383>7}:;ll18874=3`1>=552z?0b5<3=016>o=5829~w<03290iw079f;6b`>;4nk03>63;5i003?63=f08;6>;5m?0>=63=0e8;7>;5>803>63=57865>;6:h0>=63>358;6>{t1?<1<7ot=853>1gc349mh76=;<1e6?36348;i76<;<056?>5348>47;>;<31f?36349o?76=;<302?>534;i576>;|q:20<72>q65:?54`f896`d21801:jl:90896bb218015>6:90892bb21801<=::908yv?1?3:1mv367387ea=:;oo14?523g1914=::9l14>522719<7=::<319<5213a914=:9hl14?521259<7=:;l:14<5rs84;>5i4:1:?0`31;:0?841=32970<:b;72?875m3?:70?m2;:1?874132970=j2;:2?xu>>h0;6<;t=856>1gc34;><76<;<37a?>434=n876=;<176?>4349?=76;;<027?>4348:876;;<026?>4348=:76=;<053?>5348=476<;<1g=?>534=n>76>;<5f5?>634=n976<;<37b?>334;>=76:;<1f1?>2349n876;;<1f7?>43ty2;;4?:6y>=20=h9:438944a2<;01<=n:90896232180q~79b;2953}:1>=18lj4=521>=4<5:l<68?4=013>07<58?;65:4=06f>=2<5>o?65=4=261>=2<5:>:65=4=072>=2<5:o>65:4=01a>=4<5;;865:4=337>=3<5;<<65=4=34;>=3<5>nj65;4=267>=5<5>o>65<4=06e>=5<5:o?65=4=2g0>=4513y>=2>=<:90896`?2<;01<=>:438943721?01<:j:90892bf21>01>:=:978962621801>:<:968942a21801>k9:96896c321801<=l:908977321901?87:908943621901>k::918yv?1l3:1iv367887ea=:<9>14?523g;914=:9:819<521429<7=:;=814?523519<6=:9<;14?523d49<6=:;mo149523d79<7=:0931495212f9<7=:?mk14>5rs84f>5?j0;6>u213c906=:9:l18>5296f900?5dz?:2c<3:272;=4;2:?:37<3:272;>4;2:?:31<3:272;84;2:?:32<3:272;54;2:?:3<<3:272;l4;2:?:34<3:272;k4;589>=20=<;1v46?:181827>3>>563<2c8;6>{t11;1<7:t=524>13>3499m7;>;<11b?>6343o475:p==3=838p19>m:57:?855k3297p}68783>7}:<9i18874=20`>=552z?74a<3=016??m5859~w<>?2909w0:?e;66=>;4:j0396s|99;94?4|5=:m69;6;<11`?>53ty24l4?:3y>046=<<301>=5<50;j65=4=83;>=5<50;=65=4=83f>=4<50:j65<4=46:>=7<503<65<4=837>=4<50;965<4=833>=4<50:n65<4=82`>=4<508n65<4=80`>=4<508j65<4=80;>=4<508=65<4=807>=4<508965<4=803>=4<509;65<4}r;;g?6=:r724o4;f:?:970767;66=>{t11l1<713>3432<76=;|q:=5<72;q654>544;891>03863693871<=z{03=6=4l{<:g6?>4342o?76<;<:g0?>4342o976<;<:g2?>4342o476<;<:g=?>4342om76<;<:gf?>43432<76<;<;00?221272?8471:p=<>=838p1477:57:?8?>832?7p}69d83>1}:10o18874=925>=3<50k965=4=8c7>=552z?:=`;0>m039637e28;1>;6l103963<368;1>;?n>039637f88;1>;?nh039637fb8;1>;?nl039636018;1>;>8;039636058;1>;4;103963<3`8;1>;4;j03963<3d8;1>;>8?039636098;1>;?m<039637e68;1>;>i=0?94529`79<4=z{0996=4={<;04?221272?<471:p3d1=838p1:o::57:?81f>32:7p}6a083>7}:10l18874=8c3>=752z?:=4<3=01654<5809~w;>1h03=6s|81694?4|51:969;6;<:37?>63ty<4=4?:3y>32c=<<301:9i:938yv1>=3:1>v3892871<=:?0>14<5rs6;;>5<5s4=2:7::9:?4=22=?27096a;:2?xu01l0;6?u278a900?<5>3o65?4}r5b5?6=:r7<5k4;589>3d6=081v:o;:18181f:3>>5638a28;5>{t?191<713>34=3>76>;|q4<3<72;q6;5:544;892>221;0q~979;296~;00>0?945279:9<4=z{>2h6=4={<5;e?22127<4o471:p3=`=838p1:6k:57:?81?m32:7p}89383>7}:?0:18874=6;2>=7j87?t1;Y31<6sho1qc990;28yk7e?;0;6?uG5bd8j207281vb:4190c0=ug==<7<4}o3a31<728qC9nh4I5c7>4}52tP<87?tad8~j2072:1e8km50:m5g12290:wE;lf:K7e1<6s;0vV::51zcf>xh0>90?7c:ic;28yk7e??0;6<;685a4ga94>{i9k=<6=4>{I7`b>O3i=0:w?4rZ6695~gb2td<:=49;o6eg?6{38~^22=9rkn6p`8618;?k2ak3:0qc?m7`83>4}O=jl0E9o;:0y1>x\0<3;pmh4rn643><=i5<6sA?hj6G;a5827>1=voj:|l425mo7>4}o3a3f<728qC9nh4n643>g=i5<6sA?hj6`8618`?k2ak3:0qc?m7d82>4}O=jl0b:8?:e9'30g=?hi0qc?m7g83>4}O=jl0b:8?:d9~j4d?83;1=vF:cg9m336=n2.<9l48ad9~j4d?93:1=vF:cg9m336=991vb5109~j4d?;3:1=vF:cg9m336=9;1vb5129~j4d?=3:1=vF:cg9m336=9=1vb5149~j4d??3:1=vF:cg9m336=9?1vb5169~j4d?13:1=vF:cg9m336=911vb5189~j4d?j3:1=vF:cg9m336=9h1vb51c9~j4d?l3:1=vF:cg9m336=9j1vb51e9~j4d?n3:1=vF:cg9m336=9l1vb51g9~j4d>93:1=vF:cg9m336=:91vb5209m0ce=82we=o7<:082M3dn2d<:=4=2:&41d<0k;1vb5229~j4d>=3:1=vF:cg9m336=:=1vb5249~j4d>?3:1=vF:cg9m336=:?1vb5269~j4d>13:1=vF:cg9m336=:11vb5289~j4d>j3:1=vF:cg9m336=:h1vb52c9~j4d>l3:1=vF:cg9m336=:j1vb52e9~j4d>n3:1=vF:cg9m336=:l1vb52g9~j4df93:1=vF:cg9m336=;91vb5309~j4df;3:1=vF:cg9m336=;;1vb5329~j4df=3:1=vF:cg9m336=;=1vb5349~j4df?3:1=vF:cg9m336=;?1vb5369~j4df13:1=vF:cg9m336=;11vb5389~j4dfj3:1=vF:cg9m336=;h1vb53c9~j4dfl3:1=vF:cg9m336=;j1vb53e9~j4dfn3:1=vF:cg9m336=;l1vb53g9~j4de93:1=vF:cg9m336=<91vb5409~j4de;3:1=vF:cg9m336=<;1vb5429~j4de=3:1=vF:cg9m336=<=1vb5449~j4de?3:1=vF:cg9m336=5469~j4de13:1=vF:cg9m336=<11vb5489~j4dej3:1=vF:cg9m336=54c9~j4del3:1=vF:cg9m336=54e9~j4den3:1=vF:cg9m336=54g9~j4dd93:1=vF:cg9m336==91vb5509~j4dd;3:1=vF:cg9m336==;1vb5529~j4dd=3:1=vF:cg9m336===1vb5549~j4dd?3:1=vF:cg9m336==?1vb5569~j4dd13:1=vF:cg9m336==11vb5589~j4ddj3:1=vF:cg9m336==h1vb55c9~j4ddl3:1=vF:cg9m336==j1vb55e9~j4ddn3:1=vF:cg9m336==l1vb55g9~j4dc93:1=vF:cg9m336=>91vb5609~j4dc;3:1=vF:cg9m336=>;1vb5629~j4dc=3:1=vF:cg9m336=>=1vb5649~j4dc?3;1=vF:cg9m336=>?1/;8o57b78yk7el10;64}O=jl0b:8?:7:8yk7elh0:6bea95?7|@5<6sA?hj6`86185g>{i9knn6<4>{I7`b>h0>90=h6*85`84g<=zf8hoj7>51zJ6gc=i??:1:h5rn0`f4?7=9rB>ok5a77292c=#?26:0yK1f`<;6:?4$67b>2ee3td:nh=50;3xL0ea3g==<79=;|l2f`2=93;pD8mi;o554?143-=>m79lc:m5gc2290:wE;lf:l425<0<2we=ok9:182M3dn2d<:=485:m5gc0280:wE;lf:l425<0>2.<9l48c29~j4db03:1=vF:cg9m336=?>1vb5799~j4dbi3;1=vF:cg9m336=?01/;8o57`d8yk7emk0;6k0qc?meb83>4}O=jl0b:8?:6`8yk7emm0:6i0(:;n:6`2?xh6jlo1<7?tH4ae?k1183=o7p`>bdd95?7|@6sa1cd3>5<6sA?hj6`86184b>{i9kl:6<4>{I7`b>h0>903<6*85`84f6=zf8hm>7>51zJ6gc=i??:14<5rn0`e7?7=9rB>ok5a7729<7=#?=5:0yK1f`<;65:4$67b>2d23td:nk850;3xL0ea3g==<76:;|l2fc1=93;pD8mi;o554?>13-=>m79m6:m5g`?290:wE;lf:l42558c9~j4dal3;1=vF:cg9m336=0j1/;8o58268yk7enl0;64}O=jl0b:8?:9g8yk7d890:6c1094?7|@c1694?7|@c1494?7|@c1:94?7|@c1c95?7|@5<6sA?hj6`8618:e>{i9j:h6=4>{I7`b>h0>902n6sa1b2g>4<6sA?hj6`8618:g>"0=h02:45rn0a3a?6=9rB>ok5a7729=a=zf8i;j7>51zJ6gc=i??:15h5rn0a24?6=9rB>ok5a7729=c=zf8i:=7>51zJ6gc=i??:1m=5rn0a26?6=9rB>ok5a7729e4=zf8i:?7>51zJ6gc=i??:1m?5rn0a20?6=9rB>ok5a7729e6=zf8i:97>51zJ6gc=i??:1m95rn0a22?6=9rB>ok5a7729e0=zf8i:;7>51zJ6gc=i??:1m;5rn0a2ok5a7729e2=zf8i:57>51zJ6gc=i??:1m55rn0a2e?6=9rB>ok5a7729e<=zf8i:n7>51zJ6gc=i??:1ml5rn0a2g?6=9rB>ok5a7729eg=zf8i:h7>51zJ6gc=i??:1mn5rn0a2a?6=9rB>ok5a7729ea=zf8i:j7>51zJ6gc=i??:1mh5rn0a14?6=9rB>ok5a7729ec=zf8i9=7>51zJ6gc=i??:1n=5rn0a16?6=9rB>ok5a7729f4=zf8i9?7>51zJ6gc=i??:1n?5rn0a10?6=9rB>ok5a7729f6=zf8i997>51zJ6gc=i??:1n95rn0a12?6=9rB>ok5a7729f0=zf8i9;7>51zJ6gc=i??:1n;5rn0a1ok5a7729f2=zf8i957>51zJ6gc=i??:1n55rn0a1e?6=9rB>ok5a7729f<=zf8i9n7>51zJ6gc=i??:1nl5rn0a1g?6=9rB>ok5a7729fg=zf8i9h7>51zJ6gc=i??:1nn5rn0a1a?6=9rB>ok5a7729fa=zf8i9j7>51zJ6gc=i??:1nh5rn0a04?6=9rB>ok5a7729fc=zf8i8=7>51zJ6gc=i??:1o=5rn0a06?6=9rB>ok5a7729g4=zf8i8?7>51zJ6gc=i??:1o?5rn0a00?6=9rB>ok5a7729g6=zf8i897>51zJ6gc=i??:1o95rn0a02?6=9rB>ok5a7729g0=zf8i8;7>51zJ6gc=i??:1o;5rn0a0ok5a7729g2=zf8i857>51zJ6gc=i??:1o55rn0a0e?6=9rB>ok5a7729g<=zf8i8n7>51zJ6gc=i??:1ol5rn0a0g?6=9rB>ok5a7729gg=zf8i8h7>51zJ6gc=i??:1on5rn0a0a?6=9rB>ok5a7729ga=zf8i8j7>51zJ6gc=i??:1oh5rn0a74?6=9rB>ok5a7729gc=zf8i?=7>51zJ6gc=i??:1h=5rn0a76?6=9rB>ok5a7729`4=zf8i??7>51zJ6gc=i??:1h?5rn0a70?6=9rB>ok5a7729`6=zf8i?97>51zJ6gc=i??:1h95rn0a72?6=9rB>ok5a7729`0=zf8i?;7>51zJ6gc=i??:1h;5rn0a7ok5a7729`2=zf8i?57>51zJ6gc=i??:1h55rn0a7e?6=9rB>ok5a7729`<=zf8i?n7>51zJ6gc=i??:1hl5rn0a7g?6=9rB>ok5a7729`g=zf8i?h7>51zJ6gc=i??:1hn5rn0a7a?6=9rB>ok5a7729`a=zf8i?j7>51zJ6gc=i??:1hh5rn0a64?6=9rB>ok5a7729`c=zf8i>=7>51zJ6gc=i??:1i=5rn0a66?6=9rB>ok5a7729a4=zf8i>?7>51zJ6gc=i??:1i?5rn0a60?6=9rB>ok5a7729a6=zf8i>97>51zJ6gc=i??:1i95rn0a62?6=9rB>ok5a7729a0=zf8i>;7>51zJ6gc=i??:1i;5rn0a6ok5a7729a2=zf8i>57>51zJ6gc=i??:1i55rn0a6e?6=9rB>ok5a7729a<=zf8i>n7>51zJ6gc=i??:1il5rn0a6g?6=9rB>ok5a7729ag=zf8i>h7>51zJ6gc=i??:1in5rn0a6a?6=9rB>ok5a7729aa=zf8i>j7>51zJ6gc=i??:1ih5rn0a54?6=9rB>ok5a7729ac=zf8i==7>51zJ6gc=i??:1j=5rn0a56?6=9rB>ok5a7729b4=zf8i=?7>51zJ6gc=i??:1j?5rn0a50?6=9rB>ok5a7729b6=zf8i=97>51zJ6gc=i??:1j95rn0a52?6=9rB>ok5a7729b0=zf8i=;7>51zJ6gc=i??:1j;5rn0a5ok5a7729b2=zf8i=57>51zJ6gc=i??:1j55rn0a5e?6=9rB>ok5a7729b<=zf8i=n7>51zJ6gc=i??:1jl5rn0a5g?6=9rB>ok5a7729bg=zf8i=h7>51zJ6gc=i??:1jn5rn0a5a?6=9rB>ok5a7729ba=zf8i=j7>51zJ6gc=i??:1jh5rn0a44?6=9rB>ok5a7729bc=zf8i<=7>51zJ6gc=i??:1==>4}o3`37<728qC9nh4n643>4663td:o:=50;3xL0ea3g==<7??2:m5f13290:wE;lf:l425<68:1vb51168yk7d??0;67p`>c6594?7|@{i9j=36=4>{I7`b>h0>90:<:5rn0a4=?6=9rB>ok5a772955><;6<>6;|l2g2d=83;pD8mi;o554?77i2we=n9l:182M3dn2d<:=4>0c9~j4e0l3:1=vF:cg9m336=99i0qc?l7d83>4}O=jl0b:8?:02g?xh6k>l1<7?tH4ae?k1183;;i6sa1b:3>5<6sA?hj6`861824c=zf8i3=7>51zJ6gc=i??:1=<>4}o3`<7<728qC9nh4n643>4763td:o5=50;3xL0ea3g==<7?>2:m5f>3290:wE;lf:l425<69:1vb51068yk7d0?0;67p`>c9594?7|@{i9j236=4>{I7`b>h0>90:=:5rn0a;=?6=9rB>ok5a772954><;61c9~j4e?l3:1=vF:cg9m336=98i0qc?l8d83>4}O=jl0b:8?:03g?xh6k1l1<7?tH4ae?k1183;:i6sa1b;3>5<6sA?hj6`861825c=zf8i2=7>51zJ6gc=i??:1=?>4}o3`=7<728qC9nh4n643>4463td:o4=50;3xL0ea3g==<7?=2:m5f?3290:wE;lf:l425<6::1vb51368yk7d1?0;67p`>c8594?7|@{i9j336=4>{I7`b>h0>90:>:5rn0a:=?6=9rB>ok5a772957><;6<<6;|l2g2c9~j4e>l3:1=vF:cg9m336=9;i0qc?l9d83>4}O=jl0b:8?:00g?xh6k0l1<7?tH4ae?k1183;9i6sa1bc3>5<6sA?hj6`861826c=zf8ij=7>51zJ6gc=i??:1=>>4}o3`e7<728qC9nh4n643>4563td:ol=50;3xL0ea3g==<7?<2:m5fg3290:wE;lf:l425<6;:1vb51268yk7di?0;67p`>c`594?7|@{i9jk36=4>{I7`b>h0>90:?:5rn0ab=?6=9rB>ok5a772956><;6<=6;|l2gdd=83;pD8mi;o554?74i2we=nol:182M3dn2d<:=4>3c9~j4efl3:1=vF:cg9m336=9:i0qc?lad83>4}O=jl0b:8?:01g?xh6khl1<7?tH4ae?k1183;8i6sa1b`3>5<6sA?hj6`861827c=zf8ii=7>51zJ6gc=i??:1=9>4}o3`f7<728qC9nh4n643>4263td:oo=50;3xL0ea3g==<7?;2:m5fd3290:wE;lf:l425<6<:1vb51568yk7dj?0;6>7p`>cc594?7|@{i9jh36=4>{I7`b>h0>90:8:5rn0aa=?6=9rB>ok5a772951><;6<:6;|l2ggd=83;pD8mi;o554?73i2we=nll:182M3dn2d<:=4>4c9~j4eel3:1=vF:cg9m336=9=i0qc?lbd83>4}O=jl0b:8?:06g?xh6kkl1<7?tH4ae?k1183;?i6sa1ba3>5<6sA?hj6`861820c=zf8ih=7>51zJ6gc=i??:1=8>4}o3`g7<728qC9nh4n643>4363td:on=50;3xL0ea3g==<7?:2:m5fe3290:wE;lf:l425<6=:1vb51468yk7dk?0;67p`>cb594?7|@{i9ji36=4>{I7`b>h0>90:9:5rn0a`=?6=9rB>ok5a772950><;6<;6;|l2gfd=83;pD8mi;o554?72i2we=nml:182M3dn2d<:=4>5c9~j4edl3:1=vF:cg9m336=94}O=jl0b:8?:07g?xh6kjl1<7?tH4ae?k1183;>i6sa1bf3>5<6sA?hj6`861821c=zf8io=7>51zJ6gc=i??:1=;>4}o3``7<728qC9nh4n643>4063td:oi=50;3xL0ea3g==<7?92:m5fb3290:wE;lf:l425<6>:1vb51768yk7dl?0;67p`>ce594?7|@{i9jn36=4>{I7`b>h0>90:::5rn0ag=?6=9rB>ok5a772953><;6<86;|l2gad=83;pD8mi;o554?71i2we=njl:182M3dn2d<:=4>6c9~j4ecl3:1=vF:cg9m336=9?i0qc?ldd83>4}O=jl0b:8?:04g?xh6kml1<7?tH4ae?k1183;=i6sa1bg3>5<6sA?hj6`861822c=zf8in=7>51zJ6gc=i??:1=:>4}o3`a7<728qC9nh4n643>4163td:oh=50;3xL0ea3g==<7?82:m5fc3290:wE;lf:l425<6?:1vb51668yk7dm?0;67p`>cd594?7|@{i9jo36=4>{I7`b>h0>90:;:5rn0af=?6=9rB>ok5a772952><;6<96;|l2g`d=83;pD8mi;o554?70i2we=nkl:182M3dn2d<:=4>7c9~j4ebl3:1=vF:cg9m336=9>i0qc?led83>4}O=jl0b:8?:05g?xh6kll1<7?tH4ae?k1183;5<6sA?hj6`861823c=zf8im=7>51zJ6gc=i??:1=5>4}o3`b7<728qC9nh4n643>4>63td:ok=50;3xL0ea3g==<7?72:m5f`3290:wE;lf:l425<60:1vb51968yk7dn?0;67p`>cg594?7|@{i9jl36=4>{I7`b>h0>90:4:5rn0ae=?6=9rB>ok5a77295=><;6<66;|l2gcd=83;pD8mi;o554?7?i2we=nhl:182M3dn2d<:=4>8c9~j4eal3:1=vF:cg9m336=91i0qc?lfd83>4}O=jl0b:8?:0:g?xh6kol1<7?tH4ae?k1183;3i6sa1e23>5<6sA?hj6`8618251zJ6gc=i??:1=4>4}o3g47<728qC9nh4n643>4?63td:h==50;3xL0ea3g==<7?62:m5a63290:wE;lf:l425<61:1vb51868yk7c8?0:67)9:a;::3>{i9m:<6=4>{I7`b>h0>90:5;5rn0f3ok5a77295<1:0yK1f`<;6<77;%56e?>>i2we=i>n:182M3dn2d<:=4>989~j4b7j3:1=vF:cg9m336=90k0qc?k0b83>4}O=jl0b:8?:0;a?xh6l9n1<7?tH4ae?k1183;2o6sa1e2f>5<6sA?hj6`86182=a=zf8n;j7>51zJ6gc=i??:1=4k4}o3g55<728qC9nh4n643>4?a3td:h3;295~N2ko1e;;>51`08yk7c9=0;6d0794?7|@{i9m;=6=4>{I7`b>h0>90:m85rn0f23?6=9rB>ok5a77295d0<;6a89~j4b6j3:1=vF:cg9m336=9hk0qc?k1b83>4}O=jl0b:8?:0ca?xh6l8n1<7?tH4ae?k1183;jo6sa1e3f>5<6sA?hj6`86182ea=zf8n:j7>51zJ6gc=i??:1=lk4}o3g65<728qC9nh4n643>4ga3td:h??50;3xL0ea3g==<7?m0:m5a45290:wE;lf:l425<6j81vb51c08yk7c:=0;6d3794?7|@{i9m8=6=4>{I7`b>h0>90:n85rn0f13?6=9rB>ok5a77295g054?:0yK1f`<;6b89~j4b5j3:1=vF:cg9m336=9kk0qc?k2b83>4}O=jl0b:8?:0`a?xh6l;n1<7?tH4ae?k1183;io6sa1e0f>5<6sA?hj6`86182fa=zf8n9j7>51zJ6gc=i??:1=ok4}o3g75<728qC9nh4n643>4da3td:h>?50;3xL0ea3g==<7?l0:m5a55290:wE;lf:l425<6k81vb51b08yk7c;=0;6d2794?7|@{i9m9=6=4>{I7`b>h0>90:o85rn0f03?6=9rB>ok5a77295f0<;6c89~j4b4j3:1=vF:cg9m336=9jk0qc?k3b83>4}O=jl0b:8?:0aa?xh6l:n1<7?tH4ae?k1183;ho6sa1e1f>5<6sA?hj6`86182ga=zf8n8j7>51zJ6gc=i??:1=nk4}o3g05<728qC9nh4n643>4ea3td:h9?50;3xL0ea3g==<7?k0:m5a25290:wE;lf:l425<6l81vb51e08yk7c<=0;6d5794?7|@{i9m>=6=4>{I7`b>h0>90:h85rn0f73?6=9rB>ok5a77295a0<;6d89~j4b3j3:1=vF:cg9m336=9mk0qc?k4b83>4}O=jl0b:8?:0fa?xh6l=n1<7?tH4ae?k1183;oo6sa1e6f>5<6sA?hj6`86182`a=zf8n?j7>51zJ6gc=i??:1=ik4}o3g15<728qC9nh4n643>4ba3td:h8?50;3xL0ea3g==<7?j0:m5a35290:wE;lf:l425<6m81vb51d08yk7c==0;6d4794?7|@{i9m?=6=4>{I7`b>h0>90:i85rn0f63?6=9rB>ok5a77295`0<;6e89~j4b2j3:1=vF:cg9m336=9lk0qc?k5b83>4}O=jl0b:8?:0ga?xh6l5<6sA?hj6`86182aa=zf8n>j7>51zJ6gc=i??:1=hk4}o3g25<728qC9nh4n643>4ca3td:h;?50;3xL0ea3g==<7?i0:m5a05290:wE;lf:l425<6n81vb51g08yk7c>=0;6d7794?7|@{i9m<=6=4>{I7`b>h0>90:j85rn0f53?6=9rB>ok5a77295c0<;6f89~j4b1j3:1=vF:cg9m336=9ok0qc?k6b83>4}O=jl0b:8?:0da?xh6l?n1<7?tH4ae?k1183;mo6sa1e4f>5<6sA?hj6`86182ba=zf8n=j7>51zJ6gc=i??:1=kk4}o3g35<628qC9nh4n643>4`a3-=>m79l4:m5a16290:wE;lf:l425<5891vb52138yk7c?:0:6{i9m=?6=4>{I7`b>h0>909<>5rn0f41?6=9rB>ok5a7729652<;6?>:;|l2`21=83;pD8mi;o554?47>2we=i97:182M3dn2d<:=4=069~j4b013:1=vF:cg9m336=:920qc?k7`83>4}O=jl0b:8?:32:?xh6l>h1<7?tH4ae?k11838;m6sa1e5`>5<6sA?hj6`861814g=zf8n51zJ6gc=i??:1>=m4}o3g3`<728qC9nh4n643>76c3td:h:h50;3xL0ea3g==<77290:wE;lf:l425<58o1vb52028yk7c0;0;6d9195?7|@"0=h0ok5a7729645<;6??;;|l2`=0=93;pD8mi;o554?46=2.<9l48b19~j4b??3:1=vF:cg9m336=:8<0qc?k8983>4}O=jl0b:8?:334?xh6l131<7?tH4ae?k11838:46sa1e:b>5<6sA?hj6`861815<=zf8n3n7>51zJ6gc=i??:1>77e3td:h5j50;3xL0ea3g==<7<>c:m5a>b290:wE;lf:l425<59m1vb520g8yk7c190;6d8394?7|@{i9m396=4>{I7`b>h0>909><5rn0f:7?6=9rB>ok5a7729674<;6?<<;|l2`<3=83;pD8mi;o554?45<2we=i79:082M3dn2d<:=4=249'30g=0:90qc?k9683>4}O=jl0b:8?:305?xh6l021<7?tH4ae?k118389;6sa1e;:>4<6sA?hj6`861816==#?;4}o3g=d<728qC9nh4n643>74>3td:h4l50;3xL0ea3g==<7<=a:m5a?d280:wE;lf:l425<5:k1/;8o58258yk7c1m0;6d8g94?7|@{i9m3m6=4>{I7`b>h0>909>h5rn0fb4?6=9rB>ok5a772967`<;6?=?;|l2`d4=83;pD8mi;o554?4492we=io<:182M3dn2d<:=4=339~j4bf<3:1=vF:cg9m336=::90qc?ka483>4}O=jl0b:8?:317?xh6lh<1<7?tH4ae?k11838896sa1ec4>5<6sA?hj6`8618173=zf8nj47>51zJ6gc=i??:1>>94}o3ge<<628qC9nh4n643>75?3-=>m7796:m5agf290:wE;lf:l425<5;01vb522c8yk7cij0:6{i9mko6=4>{I7`b>h0>909?n5rn0fba?6=9rB>ok5a772966b:0yK1f`<;6?=j;%56e??1i2we=il?:182M3dn2d<:=4=3g9~j4be93:1=vF:cg9m336=:=:0qc?kb383>4}O=jl0b:8?:362?xh6lk91<7?tH4ae?k11838?>6sa1e`7>5<6sA?hj6`8618106=zf8ni97>51zJ6gc=i??:1>9:4}o3gf3<728qC9nh4n643>7223td:ho950;3xL0ea3g==<7<;6:m5ad?290:wE;lf:l425<5<>1vb525:8yk7cjh0;627p`>dc`94?7|@{i9mhh6=4>{I7`b>h0>9098o5rn0fa`?6=9rB>ok5a772961e<;6?:k;|l2`g`=83;pD8mi;o554?43m2we=im?:182M3dn2d<:=4=4g9~j4bd93:1=vF:cg9m336=:<:0qc?kc383>4}O=jl0b:8?:372?xh6lj91<7?tH4ae?k11838>>6sa1ea7>5<6sA?hj6`8618116=zf8nh97>51zJ6gc=i??:1>8:4}o3gg3<728qC9nh4n643>7323td:hn950;3xL0ea3g==<7<:6:m5ae?290:wE;lf:l425<5=>1vb524:8yk7ckh0;6db`94?7|@{i9mih6=4>{I7`b>h0>9099o5rn0f``?6=9rB>ok5a772960e<;6?;k;|l2`f`=83;pD8mi;o554?42m2we=ij?:182M3dn2d<:=4=5g9m0ce=92we=ij>:182M3dn2d<:=4=619~j4bc:3:1=vF:cg9m336=:?;0qc?kd283>4}O=jl0b:8?:341?xh6lm>1<7?tH4ae?k11838=?6sa1ef6>5<6sA?hj6`8618121=zf8no:7>51zJ6gc=i??:1>;;4}o3g`2<728qC9nh4n643>7013td:hi651;3xL0ea3g==<7<97:m5ab>290:wE;lf:l425<5>11vb527;8yk7clk0:6{i9mnh6=4>{I7`b>h0>909:o5rn0fg`?6=9rB>ok5a772963e<;6?8k;|l2`a`=83;pD8mi;o554?41m2we=ik?:182M3dn2d<:=4=6g9~j4bb93:1=vF:cg9m336=:>:0qc?ke383>4}O=jl0b:8?:352?xh6ll91<7?tH4ae?k11838<>6sa1eg7>5<6sA?hj6`8618136=zf8nn97>51zJ6gc=i??:1>::4}o3ga3<628qC9nh4n643>7123-=>m7766:m5ac0280:wE;lf:l425<5??1/;8o542f8yk7cm10:6{i9mo26<4>{I7`b>h0>909;55+74c904?:0yK1f`<;6?96;%56e??f:2we=ikm:182M3dn2d<:=4=7`9~j4bbk3;1=vF:cg9m336=:>h0(:;n:6df?xh6lln1<7?tH4ae?k118385<6sA?hj6`861813a=zf8nnj7>51zJ6gc=i??:1>:k4}o3gb5<728qC9nh4n643>71a3td:hk?50;3xL0ea3g==<7<70:m5a`5280:wE;lf:l425<5081/;8o598`8yk7cn:0;6dg694?7|@{i9ml>6=4>{I7`b>h0>909495rn0fe2?6=9rB>ok5a77296=3<;6?69;|l2`c>=83;pD8mi;o554?4??2we=ih6:182M3dn2d<:=4=899~j4bai3:1=vF:cg9m336=:130qc?kfc83>4}O=jl0b:8?:3:b?xh6loi1=7?tH4ae?k118383n6sa1edg>5<6sA?hj6`8618151zJ6gc=i??:1>5j4}o3gbc<728qC9nh4n643>7>b3td:i=>50;3xL0ea3g==<7<7f:m5`66290:wE;lf:l425<5191vb52838yk7b8:0;6e1694?7|@{i9l:>6<4>{I7`b>h0>909595+74c9<52<;6?7:;|l2a51=93;pD8mi;o554?4>>2.<9l48819~j4c703;1=vF:cg9m336=:0=0(:;n:6;6?xh6m931=7?tH4ae?k11838246*85`84===zf8o;m7?51zJ6gc=i??:1>474$67b>2?e3td:i=l51;3xL0ea3g==<7<6a:&41d<>;;1vb528`8 23f2>3n7p`>e1f95?7|@"0=h0ok5a77296?j6:o;;|l2a5`=93;pD8mi;o554?4>m2.<9l48829~j4c683;1=vF:cg9m336=:0l0(:;n:6:5?xh6m8;1=7?tH4ae?k11838j<6*85`84<<=zf8o:>7?51zJ6gc=i??:1>l?4$67b>2>d3td:i<=51;3xL0ea3g==<74;295~N2ko1e;;>52`18yk7b9<0;6e0494?7|@{38~^22=<;6?o9;|l2a4>=83;pD8mi;H6b0?7|:3wQ;94;{`g9=4<2;3>m:7sa77296d1xh0>909m55rn0g2e?6=9rB>ok5F4`695~4=uS=?69une;;2>05={i9l;i6=4>{I7`b>O3i=0:w?4rZ6690~gb20;19>4;f78~j2072;kj7p`>e0a94?7|@3we;;>52``8yk7b9m0;693?869h9:|l425<5ij1vbe;295~N2ko1B8l:51z09y_132=qji77>:4190c0=ug==<7{i9l8:6=4>{I7`b>h0>909n=5rn0g16?6=9rB>ok5a77296g7>4?:0yK1f`<;6?l=;|l2a72=83;pD8mi;o554?4e;2we=h<::182M3dn2d<:=4=b59~j4c5>3:1=vF:cg9m336=:k?0qc?j2683>4}O=jl0b:8?:3`5?xh6m;21<7?tH4ae?k11838i;6sa1d0:>5<6sA?hj6`86181f==zf8o9m7>51zJ6gc=i??:1>o74}o3f6g<728qC9nh4n643>7df3td:i?m50;3xL0ea3g==<752cf8yk7b:o0;6e2294?7|@{i9l9:6=4>{I7`b>h0>909o=5rn0g06?6=9rB>ok5a77296f74?:0yK1f`<;6?m=;|l2a62=83;pD8mi;o554?4d;2we=h=::182M3dn2d<:=4=c59~j4c4>3:1=vF:cg9m336=:j?0qc?j3683>4}O=jl0b:8?:3a5?xh6m:21<7?tH4ae?k11838h;6sa1d1:>5<6sA?hj6`86181g==zf8o8m7>51zJ6gc=i??:1>n74}o3f7g<728qC9nh4n643>7ef3td:i>m50;3xL0ea3g==<752bf8yk7b;o0;6e5294?7|@{i9l>:6=4>{I7`b>h0>909h=5rn0g76?6=9rB>ok5a77296a74?:0yK1f`<;6?j=;|l2a12=83;pD8mi;o554?4c;2we=h:::182M3dn2d<:=4=d59~j4c3>3:1=vF:cg9m336=:m?0qc?j4683>4}O=jl0b:8?:3f5?xh6m=21<7?tH4ae?k11838o;6sa1d6:>5<6sA?hj6`86181`==zf8o?m7>51zJ6gc=i??:1>i74}o3f0g<728qC9nh4n643>7bf3td:i9m50;3xL0ea3g==<752ef8yk7be4294?7|@{i9l?:6=4>{I7`b>h0>909i=5rn0g66?6=9rB>ok5a77296`74?:0yK1f`<;6?k=;|l2a02=83;pD8mi;o554?4b;2we=h;::182M3dn2d<:=4=e59~j4c2>3:1=vF:cg9m336=:l?0qc?j5683>4}O=jl0b:8?:3g5?xh6m<21<7?tH4ae?k11838n;6sa1d7:>5<6sA?hj6`86181a==zf8o>m7>51zJ6gc=i??:1>h74}o3f1g<728qC9nh4n643>7cf3td:i8m50;3xL0ea3g==<752df8yk7b=o0;6e7294?7|@{i9l<:6=4>{I7`b>h0>909j=5rn0g56?6=9rB>ok5a77296c74?:0yK1f`<;6?h=;|l2a32=83;pD8mi;o554?4a;2we=h8::082M3dn2d<:=4=f59'30g==4}O=jl0b:8?:3d6?xh6m?=1<7?tH4ae?k11838m:6sa1d4;>4<6sA?hj6`86181b2=#?7`?3td:i;o50;3xL0ea3g==<752g`8yk7b>m0;6e7g94?7|@{i9l{I7`b>h0>909jh5rn0g44?6=9rB>ok5a77296c`<;6>>?;|l2a24=83;pD8mi;o554?5792we=h9<:182M3dn2d<:=4<039~j4c0<3:1=vF:cg9m336=;990qc?j7483>4}O=jl0b:8?:227?xh6m><1<7?tH4ae?k11839;96sa1d54>5<6sA?hj6`8618043=zf8o<47>51zJ6gc=i??:1?=94}o3f3<<628qC9nh4n643>66?3-=>m777b:m5`1f290:wE;lf:l425<4801vb531c8yk7b?j0;6e6f94?7|@{i9l=n6=4>{I7`b>h0>908ok5a772975c<;6>>i;|l2a=7=83;pD8mi;o554?5682we=h6=:182M3dn2d<:=4<109~j4c?;3:1=vF:cg9m336=;880qc?j8583>4}O=jl0b:8?:230?xh6m1?1<7?tH4ae?k11839:86sa1d:5>5<6sA?hj6`8618050=zf8o3;7>51zJ6gc=i??:1?<84}o3f<=<728qC9nh4n643>6703td:i5750;3xL0ea3g==<7=>8:m5`>f290:wE;lf:l425<4901vb530c8yk7b0j0;6e9f95?7|@{i9l2n6=4>{I7`b>h0>908=i5rn0g;b?6=9rB>ok5a772974c<;6>?i;|l2a<7=83;pD8mi;o554?5582we=h7=:182M3dn2d<:=4<209~j4c>;3:1=vF:cg9m336=;;80qc?j9583>4}O=jl0b:8?:200?xh6m0?1<7?tH4ae?k11839986sa1d;5>5<6sA?hj6`8618060=zf8o2;7>51zJ6gc=i??:1??84}o3f==<728qC9nh4n643>6403td:i4750;3xL0ea3g==<7==8:m5`?f290:wE;lf:l425<4:01vb533c8yk7b1j0;6e8f94?7|@{i9l3n6=4>{I7`b>h0>908>i5rn0g:b?6=9rB>ok5a772977c<;6>4}O=jl0b:8?:210?xh6mh?1<7?tH4ae?k11839886sa1dc5>5<6sA?hj6`8618070=zf8oj;7>51zJ6gc=i??:1?>84}o3fe=<728qC9nh4n643>6503td:il750;3xL0ea3g==<7=<8:m5`gf290:wE;lf:l425<4;01vb532c8yk7bij0;6e`f94?7|@{i9lkn6=4>{I7`b>h0>908?i5rn0gbb?6=9rB>ok5a772976c<;6>=i;|l2ag7=83;pD8mi;o554?5382we=hl=:182M3dn2d<:=4<409~j4ce;3:1=vF:cg9m336=;=80qc?jb583>4}O=jl0b:8?:260?xh6mk?1<7?tH4ae?k11839?86sa1d`5>5<6sA?hj6`8618000=zf8oi;7>51zJ6gc=i??:1?984}o3ff=<728qC9nh4n643>6203td:io750;3xL0ea3g==<7=;8:m5`df290:wE;lf:l425<4<01vb535c8yk7bjj0;6i7p`>ecf94?7|@{i9lhn6=4>{I7`b>h0>9088i5rn0gab?6=9rB>ok5a772971c<;6>:i;|l2af7=83;pD8mi;o554?5282we=hm=:182M3dn2d<:=4<509~j4cd;3:1=vF:cg9m336=;<80qc?jc582>4}O=jl0b:8?:270?xh6mj?1<7?tH4ae?k11839>86sa1da5>4<6sA?hj6`8618010=#?6313td:in650;3xL0ea3g==<7=:7:m5`e>290:wE;lf:l425<4=11vb534;8yk7bkk0;6eba94?7|@{i9lio6=4>{I7`b>h0>9089n5rn0g`a?6=9rB>ok5a772970b<;6>;j;|l2aa6=83;pD8mi;o554?52n2we=hj>:082M3dn2d<:=4<619'30g=>m30qc?jd383>4}O=jl0b:8?:242?xh6mm91=7?tH4ae?k11839=>6*85`85`d=zf8oo87>51zJ6gc=i??:1?;=4}o3f`0<628qC9nh4n643>6033-=>m78kb:m5`b1290:wE;lf:l425<4><1vb53748 23f2?nh7p`>ee:94?7|@{i9ln26<4>{I7`b>h0>908:55+74c92ab<;6>86;|l2aad=93;pD8mi;o554?51i2.<9l49dd9~j4cck3:1=vF:cg9m336=;?h0qc?jde82>4}O=jl0b:8?:24`?!12i35<6sA?hj6`861802a=zf8ooj7?51zJ6gc=i??:1?;k4$67b>3c73td:ih>50;3xL0ea3g==<7=9f:m5`c6290:wE;lf:l425<4?91vb53638yk7bm:0:6{i9lo?6=4>{I7`b>h0>908;>5rn0gf1?7=9rB>ok5a7729722<,>?j6:?>;|l2a`0=83;pD8mi;o554?50=2we=hk8:082M3dn2d<:=4<779'30g=?880qc?je983>4}O=jl0b:8?:254?xh6ml31=7?tH4ae?k11839<46*85`8456=zf8onm7>51zJ6gc=i??:1?:74}o3fag<628qC9nh4n643>61f3-=>m79>4:m5`cd290:wE;lf:l425<4?k1vb536a8 23f2>;>7p`>edg94?7|@{i9lom6<4>{I7`b>h0>908;h5+74c9340<;6>9i;|l2ac7=93;pD8mi;o554?5?82.<9l48169~j4ca:3:1=vF:cg9m336=;1;0qc?jf283>4}O=jl0b:8?:2:1?xh6mo>1<7?tH4ae?k118393?6sa1dd6>4<6sA?hj6`86180<1=#?6>23td:ik951;3xL0ea3g==<7=76:&41d<09k1vb53958yk7bn00:6{i9llj6=4>{I7`b>h0>908445rn0gef?7=9rB>ok5a77297=g<,>?j6:?k;|l2ace=83;pD8mi;o554?5?j2we=hhk:082M3dn2d<:=4<8b9'30g=?8o0qc?jfd83>4}O=jl0b:8?:2:g?xh6mol1=7?tH4ae?k118393i6*85`845c=zf8l;<7>51zJ6gc=i??:1?5h4}o3e44<628qC9nh4n643>6?73-=>m79=0:m5c65290:wE;lf:l425<4181vb53808 23f2>8:7p`>f1694?7|@{i9o:>6<4>{I7`b>h0>908595+74c9374<;6>7:;|l2b51=83;pD8mi;o554?5>>2we=k>7:082M3dn2d<:=4<969'30g=?;o0qc?i0883>4}O=jl0b:8?:2;;?xh6n9k1=7?tH4ae?k11839256*85`846c=zf8l;n7>51zJ6gc=i??:1?4o4}o3e4f<628qC9nh4n643>6?e3-=>m79<0:m5c6c290:wE;lf:l425<41j1vb538f8 23f2>9:7p`>f1d94?7|@{i9o;;6<4>{I7`b>h0>9085k5+74c9364<;6>o?;|l2b44=93;pD8mi;o554?5f92.<9l48329~j4`6;3:1=vF:cg9m336=;h80qc?i1582>4}O=jl0b:8?:2c0?!12i3=886sa1g36>5<6sA?hj6`86180e1=zf8l::7?51zJ6gc=i??:1?l;4$67b>2523td:j<950;3xL0ea3g==<7=n6:m5c7?280:wE;lf:l425<4i>1/;8o57248yk7a900;6f0c95?7|@"0=h0<=l5rn0d2f?6=9rB>ok5a77297dg<;6>om;|l2b4b=83;pD8mi;o554?5fk2we=k?j:082M3dn2d<:=44}O=jl0b:8?:2cf?xh6n;:1<7?tH4ae?k11839jj6sa1g02>5<6sA?hj6`86180f5=zf8l9>7?51zJ6gc=i??:1?o?4$67b>2473td:j?=50;3xL0ea3g==<7=m2:m5c43290:wE;lf:l425<4j:1vb53c68yk7a:?0;67p`>f3594?7|@{i9o836=4>{I7`b>h0>908n:5rn0d1=?6=9rB>ok5a77297g>l4?:0yK1f`<;6>l6;|l2b7d=93;pD8mi;o554?5ei2.<9l482d9~j4`5k3:1=vF:cg9m336=;kh0qc?i2e83>4}O=jl0b:8?:2``?xh6n;o1<7?tH4ae?k11839ih6sa1g0e>5<6sA?hj6`86180f`=zf8l8<7?51zJ6gc=i??:1?oh4$67b>2553td:j>?50;3xL0ea3g==<7=l0:m5c55290:wE;lf:l425<4k81vb53b08yk7a;=0;6f2794?7|@{i9o9=6=4>{I7`b>h0>908o85rn0d03?6=9rB>ok5a77297f0<;6>m8;|l2b6?=83;pD8mi;o554?5d02we=k=n:182M3dn2d<:=44}O=jl0b:8?:2aa?xh6n:n1<7?tH4ae?k11839ho6sa1g1f>5<6sA?hj6`86180ga=zf8l8j7>51zJ6gc=i??:1?nk4}o3e05<728qC9nh4n643>6ea3td:j9?50;3xL0ea3g==<7=k0:m5c25290:wE;lf:l425<4l81vb53e08yk7a<=0;6f5794?7|@{i9o>=6=4>{I7`b>h0>908h85rn0d73?6=9rB>ok5a77297a0<;6>j8;|l2b1?=83;pD8mi;o554?5c02we=k:n:182M3dn2d<:=44}O=jl0b:8?:2fa?xh6n=n1<7?tH4ae?k11839oo6sa1g6f>5<6sA?hj6`86180`a=zf8l?j7>51zJ6gc=i??:1?ik4}o3e15<728qC9nh4n643>6ba3td:j8?50;3xL0ea3g==<7=j0:m5c35290:wE;lf:l425<4m81vb53d08yk7a==0;6f4794?7|@{i9o?=6=4>{I7`b>h0>908i85rn0d63?6=9rB>ok5a77297`0<;6>k8;|l2b0?=83;pD8mi;o554?5b02we=k;n:182M3dn2d<:=44}O=jl0b:8?:2ga?xh6n5<6sA?hj6`86180aa=zf8l>j7>51zJ6gc=i??:1?hk4}o3e25<728qC9nh4n643>6ca3td:j;?50;3xL0ea3g==<7=i0:m5c05290:wE;lf:l425<4n81vb53g08yk7a>=0;6f7794?7|@{i9o<=6=4>{I7`b>h0>908j85rn0d53?6=9rB>ok5a77297c0<;6>h8;|l2b3?=83;pD8mi;o554?5a02we=k8n:182M3dn2d<:=44}O=jl0b:8?:2da?xh6n?n1=7?tH4ae?k11839mo6sa1g4f>4<6sA?hj6`86180ba=zf8l=j7?51zJ6gc=i??:1?kk4}o3e35<628qC9nh4n643>6`a3td:j:?51;3xL0ea3g==<7:?0:m5c15280:wE;lf:l425<3881vb54108yk7a?=0:6f6794?7|@{i9o==6=4>{I7`b>h0>90?<85rn0d43?6=9rB>ok5a7729050<;69>8;|l2b2?=83;pD8mi;o554?2702we=k9n:182M3dn2d<:=4;089~j4`0j3:1=vF:cg9m336=<9k0qc?i7b83>4}O=jl0b:8?:52a?xh6n>n1<7?tH4ae?k1183>;o6sa1g5f>5<6sA?hj6`861874a=zf8l51zJ6gc=i??:18=k4}o3e<5<728qC9nh4n643>16a3td:j5?50;3xL0ea3g==<7:>0:m5c>5290:wE;lf:l425<3981vb54008yk7a0=0;6f9794?7|@{i9o2=6=4>{I7`b>h0>90?=85rn0d;3?6=9rB>ok5a7729040<;69?8;|l2b=?=83;pD8mi;o554?2602we=k6n:182M3dn2d<:=4;189~j4`?j3:1=vF:cg9m336=<8k0qc?i8b83>4}O=jl0b:8?:53a?xh6n1n1<7?tH4ae?k1183>:o6sa1g:f>5<6sA?hj6`861875a=zf8l3j7>51zJ6gc=i??:1817a3td:j4?50;3xL0ea3g==<7:=0:m5c?5290:wE;lf:l425<3:81vb54308yk7a1=0:6f8795?7|@{i9o3=6<4>{I7`b>h0>90?>85rn0d:3?7=9rB>ok5a7729070:0yK1f`<;69<8;|l2bj3;1=vF:cg9m336=<;k0qc?i9b83>4}O=jl0b:8?:50a?xh6n0n1<7?tH4ae?k1183>9o6sa1g;f>4<6sA?hj6`861876a=zf8l2j7?51zJ6gc=i??:18?k4}o3ee5<628qC9nh4n643>14a3td:jl?51;3xL0ea3g==<7:<0:m5cg5280:wE;lf:l425<3;81vb54208yk7ai=0:6f`795?7|@{i9ok=6<4>{I7`b>h0>90??85rn0db3?6=9rB>ok5a7729060:0yK1f`<;69=8;|l2bd?=93;pD8mi;o554?2402we=kon:082M3dn2d<:=4;389~j4`fj3;1=vF:cg9m336=<:k0qc?iab82>4}O=jl0b:8?:51a?xh6nhn1=7?tH4ae?k1183>8o6sa1gcf>4<6sA?hj6`861877a=zf8ljj7?51zJ6gc=i??:18>k4}o3ef5<628qC9nh4n643>15a3td:jo?50;3xL0ea3g==<7:;0:m5cd5290:wE;lf:l425<3<81vb54508yk7aj=0;693?869h9:|l425<3<:1vb54568yk7aj?0;6>7p`>fc594?7|@{i9oh36=4>{I7`b>h0>90?8:5rn0da=?6=9rB>ok5a772901><;69:6;|l2bgd=93;pD8mi;o554?23i2we=kll:082M3dn2d<:=4;4c9~j4`el3;1=vF:cg9m336=<=i0qc?ibd82>4}O=jl0b:8?:56g?xh6nkl1<7?tH4ae?k1183>?i6sa1ga3>5<6sA?hj6`861870c=zf8lh=7>51zJ6gc=i??:188>4}o3eg7<628qC9nh4n643>1363-=>m79n7:m5ce4280:wE;lf:l425<3=;1/;8o57608yk7ak=0:6{i9oi>6<4>{I7`b>h0>90?995+74c9322:0yK1f`<;69;:;%56e?10=2we=km8:082M3dn2d<:=4;579~j4`d03;1=vF:cg9m336=<<=0qc?ic882>4}O=jl0b:8?:57;?xh6njk1=7?tH4ae?k1183>>56sa1gaa>4<6sA?hj6`861871d=zf8lho7?51zJ6gc=i??:188l4}o3ega<628qC9nh4n643>13d3td:jnk51;3xL0ea3g==<7::d:m5cea280:wE;lf:l425<3=l1vb544d8yk7al80:6fe095?7|@{i9on86<4>{I7`b>h0>90?:?5rn0dg0?7=9rB>ok5a7729035:0yK1f`<;698;;|l2ba0=93;pD8mi;o554?21=2we=kj8:082M3dn2d<:=4;679~j4`c03;1=vF:cg9m336=4}O=jl0b:8?:54;?xh6nmk1=7?tH4ae?k1183>=56sa1gfa>4<6sA?hj6`861872d=zf8loo7?51zJ6gc=i??:18;l4}o3e`a<728qC9nh4n643>10d3td:jik50;3xL0ea3g==<7:9d:m5cba290:wE;lf:l425<3>l1vb547d8yk7am80;6fd094?7|@{i9oo86=4>{I7`b>h0>90?;?5rn0df0?6=9rB>ok5a7729025<;699;;|l2b`0=83;pD8mi;o554?20=2we=kk8:182M3dn2d<:=4;779~j4`b03:1=vF:cg9m336=<>=0qc?ie883>4}O=jl0b:8?:55;?xh6nlk1<7?tH4ae?k1183><56sa1gga>5<6sA?hj6`861873d=zf8lno7>51zJ6gc=i??:18:l4}o3eaa<728qC9nh4n643>11d3td:jhk50;3xL0ea3g==<7:8d:m5cca290:wE;lf:l425<3?l1vb546d8yk7an80;6fg094?7|@{i9ol86=4>{I7`b>h0>90?4?5rn0de0?6=9rB>ok5a77290=5<;696;;|l2bc0=93;pD8mi;o554?2?=2we=kh8:182M3dn2d<:=4;879~j4`a03:1=vF:cg9m336=<1=0qc?if883>4}O=jl0b:8?:5:;?xh6nok1<7?tH4ae?k1183>356sa1gda>5<6sA?hj6`8618751zJ6gc=i??:185l4}o3eba<728qC9nh4n643>1>d3td:jkk50;3xL0ea3g==<7:7d:m5c`a290:wE;lf:l425<30l1vb?>?0;295~N2ko1e;;>549d8yk47880;6{i:9:86=4>{I7`b>h0>90?5?5rn3230?6=9rB>ok5a77290<5<;697;;|l1450=83;pD8mi;o554?2>=2we>=>8:182M3dn2d<:=4;979~j76703:1=vF:cg9m336=<0=0qc4}O=jl0b:8?:5;;?xh589k1<7?tH4ae?k1183>256sa212a>5<6sA?hj6`86187=d=zf;:;o7>51zJ6gc=i??:184l4}o034a<728qC9nh4n643>1?d3td9<=k50;3xL0ea3g==<7:6d:m656a290:wE;lf:l425<31l1vb?>>0;295~N2ko1e;;>548d8yk47980;6{i:9;86=4>{I7`b>h0>90?m?5rn3220?6=9rB>ok5a77290d5<;69o;;|l1440=83;pD8mi;o554?2f=2we>=?8:082M3dn2d<:=4;a79~j76603:1=vF:cg9m336=4}O=jl0b:8?:5c;?xh588k1=7?tH4ae?k1183>j56sa213a>4<6sA?hj6`86187ed=zf;::o7?51zJ6gc=i??:18ll4}o035a<728qC9nh4n643>1gd3td9<=0;295~N2ko1e;;>54`d8yk47:80;6{i:9886<4>{I7`b>h0>90?n?5+74c9<1>94?:0yK1f`<;69l<;|l1473=83;pD8mi;o554?2e<2we>=<9:182M3dn2d<:=4;b49~j765?3:1=vF:cg9m336=4}O=jl0b:8?:5`4?xh58;31<7?tH4ae?k1183>i46sa210b>5<6sA?hj6`86187f<=zf;:9n7>51zJ6gc=i??:18oo4}o036f<728qC9nh4n643>1de3td9=f;295~N2ko1e;;>54cg8yk47;90;6{i:9996=4>{I7`b>h0>90?o<5rn3207?6=9rB>ok5a77290f4<;69m<;|l1463=83;pD8mi;o554?2d<2we>==9:182M3dn2d<:=4;c49~j764?3:1=vF:cg9m336=4}O=jl0b:8?:5a4?xh58:31<7?tH4ae?k1183>h46sa211b>5<6sA?hj6`86187g<=zf;:8n7>51zJ6gc=i??:18no4}o037f<728qC9nh4n643>1ee3td9<>j50;3xL0ea3g==<7:lc:m655b290:wE;lf:l425<3km1vb?>54bg8yk47<90;6{i:9>96=4>{I7`b>h0>90?h<5rn3277?6=9rB>ok5a77290a4<;69j<;|l1413=83;pD8mi;o554?2c<2we>=:9:182M3dn2d<:=4;d49~j763?3:1=vF:cg9m336=4}O=jl0b:8?:5f4?xh58=31<7?tH4ae?k1183>o46sa216b>5<6sA?hj6`86187`<=zf;:?n7>51zJ6gc=i??:18io4}o030f<728qC9nh4n643>1be3td9<9j50;3xL0ea3g==<7:kc:m652b290:wE;lf:l425<3lm1vb?>;f;295~N2ko1e;;>54eg8yk47=90;6{i:9?96=4>{I7`b>h0>90?i<5rn3267?6=9rB>ok5a77290`4<;69k<;|l1403=93;pD8mi;o554?2b<2we>=;9:182M3dn2d<:=4;e49~j762?3:1=vF:cg9m336=4}O=jl0b:8?:5g4?xh58<31<7?tH4ae?k1183>n46sa217b>5<6sA?hj6`86187a<=zf;:>n7>51zJ6gc=i??:18ho4}o031f<728qC9nh4n643>1ce3td9<8j50;3xL0ea3g==<7:jc:m653b290:wE;lf:l425<3mm1vb?>:f;295~N2ko1e;;>54dg8yk47>90;6{i:9<96=4>{I7`b>h0>90?j<5rn3257?6=9rB>ok5a77290c4<;69h<;|l1433=83;pD8mi;o554?2a<2we>=89:182M3dn2d<:=4;f49~j761?3:1=vF:cg9m336=4}O=jl0b:8?:5d4?xh58?31<7?tH4ae?k1183>m46sa214b>5<6sA?hj6`86187b<=zf;:=n7>51zJ6gc=i??:18ko4}o032f<728qC9nh4n643>1`e3td9<;j50;3xL0ea3g==<7:ic:m650b290:wE;lf:l425<3nm1vb?>9f;295~N2ko1e;;>54gg8yk47?90;6{i:9=96=4>{I7`b>h0>90><<5rn3247?6=9rB>ok5a7729154<;68><;|l1423=83;pD8mi;o554?37<2we>=99:182M3dn2d<:=4:049~j760?3:1=vF:cg9m336==9<0qc4}O=jl0b:8?:424?xh58>31<7?tH4ae?k1183?;46sa215b>5<6sA?hj6`861864<=zf;:51zJ6gc=i??:19=o4}o033f<728qC9nh4n643>06e3td9<:j50;3xL0ea3g==<7;?c:m651b290:wE;lf:l425<28m1vb?>8f;295~N2ko1e;;>551g8yk47090:6{i:9296=4>{I7`b>h0>90>=<5rn32;7?6=9rB>ok5a7729144<;68?<;|l14=3=83;pD8mi;o554?36<2we>=69:182M3dn2d<:=4:149~j76??3:1=vF:cg9m336==8<0qc4}O=jl0b:8?:434?xh58131<7?tH4ae?k1183?:46sa21:b>5<6sA?hj6`861865<=zf;:3n7>51zJ6gc=i??:1907e3td9<5j50;3xL0ea3g==<7;>c:m65>b290:wE;lf:l425<29m1vb?>7f;295~N2ko1e;;>550g8yk47190;6{i:9396=4>{I7`b>h0>90>><5rn32:7?6=9rB>ok5a7729174<;68<<;|l14<3=83;pD8mi;o554?35<2we>=79:182M3dn2d<:=4:249~j76>?3:1=vF:cg9m336==;<0qc4}O=jl0b:8?:404?xh58031<7?tH4ae?k1183?946sa21;b>5<6sA?hj6`861866<=zf;:2n7>51zJ6gc=i??:19?o4}o03=f<728qC9nh4n643>04e3td9<4j50;3xL0ea3g==<7;=c:m65?b290:wE;lf:l425<2:m1vb?>6f;295~N2ko1e;;>553g8yk47i90;6{i:9k96=4>{I7`b>h0>90>?<5rn32b7?6=9rB>ok5a7729164<;68=<;|l14d3=83;pD8mi;o554?34<2we>=o9:182M3dn2d<:=4:349~j76f?3:1=vF:cg9m336==:<0qc4}O=jl0b:8?:414?xh58h31<7?tH4ae?k1183?846sa21cb>5<6sA?hj6`861867<=zf;:jn7>51zJ6gc=i??:19>o4}o03ef<728qC9nh4n643>05e3td9nf;295~N2ko1e;;>552g8yk47j90;6{i:9h96=4>{I7`b>h0>90>8<5rn32a7?6=9rB>ok5a7729114<;68:<;|l14g3=83;pD8mi;o554?33<2we>=l9:182M3dn2d<:=4:449~j76e?3:1=vF:cg9m336===<0qc4}O=jl0b:8?:464?xh58k31<7?tH4ae?k1183??46sa21`b>5<6sA?hj6`861860<=zf;:in7>51zJ6gc=i??:199o4}o03ff<728qC9nh4n643>02e3td9mf;295~N2ko1e;;>555g8yk47k90;6m7p`=0b394?7|@{i:9i96=4>{I7`b>h0>90>9<5rn32`7?6=9rB>ok5a7729104<;68;<;|l14f3=83;pD8mi;o554?32<2we>=m9:182M3dn2d<:=4:549~j76d?3:1=vF:cg9m336==<<0qc4}O=jl0b:8?:474?xh58j31<7?tH4ae?k1183?>46sa21ab>5<6sA?hj6`861861<=zf;:hn7>51zJ6gc=i??:198o4}o03gf<728qC9nh4n643>03e3td9lf;295~N2ko1e;;>554g8yk47l90;6{i:9n96=4>{I7`b>h0>90>:<5rn32g7?6=9rB>ok5a7729134<;688<;|l14a3=83;pD8mi;o554?31<2we>=j9:182M3dn2d<:=4:649~j76c?3:1=vF:cg9m336==?<0qc4}O=jl0b:8?:444?xh58m31<7?tH4ae?k1183?=46sa21fb>5<6sA?hj6`861862<=zf;:on7>51zJ6gc=i??:19;o4}o03`f<728qC9nh4n643>00e3td9m1vb?>kf;395~N2ko1e;;>557g8yk47m90:6{i:9o96<4>{I7`b>h0>90>;<5rn32f7?6=9rB>ok5a7729124<;689<;|l14`3=83;pD8mi;o554?30<2we>=k9:182M3dn2d<:=4:749~j76b?3:1=vF:cg9m336==><0qc4}O=jl0b:8?:454?xh58l31=7?tH4ae?k1183?<46*85`8:3g=zf;:nm7>51zJ6gc=i??:19:74}o03ag<728qC9nh4n643>01f3td9je;295~N2ko1e;;>556f8yk47mo0;6{i:9l:6=4>{I7`b>h0>90>4=5rn32e6?6=9rB>ok5a77291=74?:0yK1f`<;686=;|l14c2=83;pD8mi;o554?3?;2we>=h::182M3dn2d<:=4:859~j76a>3;1=vF:cg9m336==1?0qc4}O=jl0b:8?:4:5?xh58o21<7?tH4ae?k1183?3;6sa21d:>5<6sA?hj6`86186<==zf;:mm7>51zJ6gc=i??:19574}o03bg<628qC9nh4n643>0>f3-=>m7763:m65`d280:wE;lf:l425<20k1vb?>id;295~N2ko1e;;>559a8yk47nl0;6"0=h02m<5rn3334?7=9rB>ok5a77291=`:0ym336==0:0qc<>0382>4}i??:194?4}o0246<628qe;;>55808yk468=0:6:0ym336==0>0qc<>0782>4}i??:194;4}o0242<628qe;;>55848yk46810:6:0ym336==020qc<>0`82>4}i??:19474}o024g<628qe;;>558c8yk468j0:6:0ym336==0i0qc<>0d82>4}i??:194j4}o024c<628qe;;>558g8yk46990:6:0ym336==h:0qc<>1382>4}i??:19l?4}o0256<628qe;;>55`08yk7>050sZ>n65;6:382764>il0889m<;%572?2f>2.?m84>9:J4e>Nd<2B42===<19>;tZ2d96~4c2;i1qE9>;%7`=?7>3g=868:;;o6e1?34?2d<:=4?;h66>5<l1<75`75594?=h=h=h=h1<7*;a987f<=i=h!2f03k:7c:n7;28?jg7290/8l65a09m0d1=921d5k4?:%6bj;7<4;n;f>5<#=h1m0;6):n8;c2?k2f?3>07b7l:18'0d>=i81e8l955:9l=g<72->j47o>;o6b3?0<3f3j6=4+4`:9e4=i819k5+4g;9<0><,5G6g9m336=:2c?j7>5;h66>5<>{e??h1=7850;2x 24==o1/8k7584:8 0ec2>?;7):ie;1;?!>d2:1C:k5a77297>o3n3:17d:::188m1g=831b8lm50;9j07<722e?mi4?::a320=93<1<7>t$6091c=#2373->mi7=7;%:`>6=O>o1e;;>54:k7b?6=@1o07d:::188m1g=831b8lm50;9j07<722e?mi4?::a321=93<1<7>t$6091c=#2373->mi7=7;%:`>6=O>o1e;;>55:k7b?6=@1o07d:::188m1g=831b8lm50;9j07<722e?mi4?::a32>=93<1<7>t$6091c=#2373->mi7=7;%:`>6=O>o1e;;>56:k7b?6=@1o07d:::188m1g=831b8lm50;9j07<722e?mi4?::a32?=93<1<7>t$6091c=#2373->mi7=7;%:`>6=O>o1e;;>57:k7b?6=@1o07d:::188m1g=831b8lm50;9j07<722e?mi4?::a3a?=93<1<7>t$60926=#2373->mi7:id:&;g?5<@?l0b:8?:99j0c<722c?97>5;h6b>5<4<1290;w)9=:4d8 1`>21?37);ld;564>"3nl0846*7c;18L3`<;645f4g83>>o3=3:17d:n:188m1gd2900e9<50;9l0db=831vn59?:085>5<7s-=968h4$5d:>=3?3-?hh79:0:&7b`<402.3o7=4H7d8j2072h1b8k4?::k71?6=3`>j6=44i5c`>5<<6*;fd80<>"?k390D;h4n643>g=n0`<,=l265;7;%7``?1282.?jh4<8:&;g?5<@?l0b:8?:b9j0c<722c?97>5;h6b>5<4<1290;w)9=:4d8 1`>21?37);ld;564>"3nl0846*7c;18L3`<;6i5f4g83>>o3=3:17d:n:188m1gd2900e9<50;9l0db=831vn59k:085>5<7s-=968h4$5d:>=3?3-?hh79:0:&7b`<402.3o7=4H7d8j2072l1b8k4?::k71?6=3`>j6=44i5c`>5<<6*;fd80<>"?k390D;h4n643>c=n0`<,=l265;7;%7``?1282.?jh4<8:&;g?5<@?l0b:8?:028m1`=831b884?::k7e?6=3`>jo7>5;h61>5<3:146*:ce8415=#;h6e>5<>o3ij0;66g;2;29?j2fl3:17pl78082>3<729q/;?4:f:&7b<h0>90:>6g;f;29?l222900e9o50;9j0de=831b8?4?::m7ea<722wi45<51;494?6|,>819k5+4g;9<0><,5G6g9m336=9:1b8k4?::k71?6=3`>j6=44i5c`>5<<6*;fd80<>"?k390D;h4n643>42>o3i3:17d:nc;29?l252900c9ok:188yg>0;3;1:7>50z&46?3a3->m576:8:&6ga<0=91/8kk5399'jh7>5;|`;31<62?0;6=u+7386b>"3n003955+5bf9306<,=ln6>64$9a97>N1n2d<:=4>6:k7b?6=3`>>6=44i5c94?=n>i3im0;66sm86795?0=83:p(:<55g9'0c?=0<20(8mk:673?!2am3937)6l:29K2c=i??:1=:5f4g83>>o3=3:17d:n:188m1gd2900e9<50;9l0db=831vn599:085>5<7s-=968h4$5d:>=3?3-?hh79:0:&7b`<402.3o7=4H7d8j2072820e9h50;9j00<722c?m7>5;h6bg?6=3`>96=44o5cg>5<"2km0<9=5+4gg97==#0j087E8i;o554?7>3`>m6=44i5794?=n>o3:3:17b:nd;29?xd??10:6;4?:1y'37<2n2.?j447599'1fb=?<:0(9hj:2:8 =e=;2B=j6`86182e>o3n3:17d:::188m1g=831b8lm50;9j07<722e?mi4?::a<2?=93<1<7>t$6091c=#2373->mi7=7;%:`>6=O>o1e;;>51c9j0c<722c?97>5;h6b>5<4<1290;w)9=:4d8 1`>21?37);ld;564>"3nl0846*7c;18L3`<;67;i;%6e=?>202.>oi48519'0cc=;11/4n4<;I4e?k1183;o7d:i:188m13=831b8l4?::k7ef<722c?>7>5;n6b`?6=3th:783>5}#?;0>j6*;f88;1==#=jn1;8>4$5df>6><,1i1?6F9f:l425<6m2c?j7>5;h66>5<>{e?kk1=7850;2x 24==o1/8k7584:8 0ec2>?;7):ie;1;?!>d2:1C:k5a77295c=n0`<,=l265;7;%7``?1282.?jh4<8:&;g?5<@?l0b:8?:328m1`=831b884?::k7e?6=3`>jo7>5;h61>5<3:146*:ce8415=#;h6e>5<>o3ij0;66g;2;29?j2fl3:17pl8be82>3<729q/;?4:f:&7b<h0>909>6g;f;29?l222900e9o50;9j0de=831b8?4?::m7ea<722wi;ok51;494?6|,>819k5+4g;9<0><,j6=44i5c`>5<hm6<49:183!152<6*;fd80<>"?k390D;h4n643>72>o3i3:17d:nc;29?l252900c9ok:188yg1d83;1:7>50z&46?3a3->m576:8:&6ga<0=91/8kk5399'jh7>5;|`4g4<62?0;6=u+7386b>"3n003955+5bf9306<,=ln6>64$9a97>N1n2d<:=4=6:k7b?6=3`>>6=44i5c94?=n>i3im0;66sm7c;95?0=83:p(:<55g9'0c?=0<20(8mk:673?!2am3937)6l:29K2c=i??:1>:5f4g83>>o3=3:17d:n:188m1gd2900e9<50;9l0db=831vn:mk:085>5<7s-=968h4$5d:>=3?3-?hh79:0:&7b`<402.3o7=4H7d8j2072;20e9h50;9j00<722c?m7>5;h6bg?6=3`>96=44o5cg>5<"2km0<9=5+4gg97==#0j087E8i;o554?4>3`>m6=44i5794?=n>o3:3:17b:nd;29?xd0l90:6;4?:1y'37<2n2.?j447599'1fb=?<:0(9hj:2:8 =e=;2B=j6`86181e>o3n3:17d:::188m1g=831b8lm50;9j07<722e?mi4?::a3a7=93<1<7>t$6091c=#2373->mi7=7;%:`>6=O>o1e;;>52c9j0c<722c?97>5;h6b>5<4<1290;w)9=:4d8 1`>21?37);ld;564>"3nl0846*7c;g8L3`<;6?m4i5d94?=n<<0;66g;a;29?l2fk3:17d:=:188k1gc2900qo9k3;392?6=8r.<>7;i;%6e=?>202.>oi48519'0cc=;11/4n4j;I4e?k11838o7d:i:188m13=831b8l4?::k7ef<722c?>7>5;n6b`?6=3th:783>5}#?;0>j6*;f88;1==#=jn1;8>4$5df>6><,1i1i6F9f:l425<5m2c?j7>5;h66>5<>{e?m?1=7850;2x 24==o1/8k7584:8 0ec2>?;7):ie;1;?!>d2l1C:k5a77296c=n0`<,=l265;7;%7``?1282.?jh4<8:&;g?5<@?l0b:8?:228m1`=831b884?::k7e?6=3`>jo7>5;h61>5<3:146*:ce8415=#;h6e>5<>o3ij0;66g;2;29?j2fl3:17pl8cg82>3<729q/;?4:f:&7b<h0>908>6g;f;29?l222900e9o50;9j0de=831b8?4?::m7ea<722wi4>m51;494?6|,>819k5+4g;9<0><,5G6g9m336=;:1b8k4?::k71?6=3`>j6=44i5c`>5<<6*;fd80<>"?k390D;h4n643>62>o3i3:17d:nc;29?l252900c9ok:188yg>4n3;1:7>50z&46?3a3->m576:8:&6ga<0=91/8kk5399'jh7>5;|`;05<62?0;6=u+7386b>"3n003955+5bf9306<,=ln6>64$9a97>N1n2d<:=4<6:k7b?6=3`>>6=44i5c94?=n>i3im0;66sm85395?0=83:p(:<55g9'0c?=0<20(8mk:673?!2am3937)6l:d9K2c=i??:1?:5f4g83>>o3=3:17d:n:188m1gd2900e9<50;9l0db=831vn5:=:085>5<7s-=968h4$5d:>=3?3-?hh79:0:&7b`<402.3o7k4H7d8j2072:20e9h50;9j00<722c?m7>5;h6bg?6=3`>96=44o5cg>5<"2km0<9=5+4gg97==#0j0n7E8i;o554?5>3`>m6=44i5794?=n>o3:3:17b:nd;29?xd?<<0:6;4?:1y'37<2n2.?j447599'1fb=?<:0(9hj:2:8 =e=m2B=j6`86180e>o3n3:17d:::188m1g=831b8lm50;9j07<722e?mi4?::a<10=93<1<7>t$6091c=#2373->mi7=7;%:`>6=O>o1e;;>53c9j0c<722c?97>5;h6b>5<4<1290;w)9=:4d8 1`>21?37);ld;564>"3nl0846*7c;18L3`<;6>m4i5d94?=n<<0;66g;a;29?l2fk3:17d:=:188k1gc2900qo67;i;%6e=?>202.>oi48519'0cc=;11/4n4<;I4e?k11839o7d:i:188m13=831b8l4?::k7ef<722c?>7>5;n6b`?6=3th2:k4>:783>5}#?;0>j6*;f88;1==#=jn1;8>4$5df>6><,1i1?6F9f:l425<4m2c?j7>5;h66>5<>{e1>:1=7850;2x 24==o1/8k7584:8 0ec2>?;7):ie;1;?!>d2:1C:k5a77297c=n0`<,=l265;7;%7``?1282.?jh4<8:&;g?5<@?l0b:8?:528m1`=831b884?::k7e?6=3`>jo7>5;h61>5<3:146*:ce8415=#;h6e>5<>o3ij0;66g;2;29?j2fl3:17pl67582>3<729q/;?4:f:&7b<h0>90?>6g;f;29?l222900e9o50;9j0de=831b8?4?::m7ea<722wi5:;51;494?6|,>819k5+4g;9<0><,j6=44i5c`>5<<6*;fd80<>"?k3o0D;h4n643>12>o3i3:17d:nc;29?l252900c9ok:188yg?003;1:7>50z&46?3a3->m576:8:&6ga<0=91/8kk5399'jh7>5;|`:3<<62?0;6=u+7386b>"3n003955+5bf9306<,=ln6>64$9a97>N1n2d<:=4;6:k7b?6=3`>>6=44i5c94?=n>i3im0;66sm96c95?0=83:p(:<55g9'0c?=0<20(8mk:673?!2am3937)6l:29K2c=i??:18:5f4g83>>o3=3:17d:n:188m1gd2900e9<50;9l0db=831vn49>:085>5<7s-=968h4$5d:>=3?3-?hh79:0:&7b`<402.3o7=4H7d8j2072=20e9h50;9j00<722c?m7>5;h6bg?6=3`>96=44o5cg>5<<@?l0b:8?:5;8m07=831b8>4?::k7b4<722e?944?::a7=3=9391<7>t$4ag>2333->m576:8:J5b>h0>90?m6gnb;29?l242900c9;6:188yg51j3;187>50z&6ga<0=;1/8k7584:8L3`<;69l4i4394?=n<:0;66g;f083>>i3=00;66sm39495?5=83:p(8mk:677?!2a132>46F9f:l425<3k2cjn7>5;h60>5<202B=j6`86187a>ofj3:17d:<:188k13>2900qo=9e;390?6=8r.>oi48539'0c?=0<20D;h4n643>1`>o3n80;66a;5883>>{e;121=7=50;2x 0ec2>??7):i9;:6<>N1n2d<:=4:0:kbf?6=3`>86=44o57:>5<<@?l0b:8?:438m07=831b8>4?::k7b4<722e?944?::a7=?=9391<7>t$4ag>2333->m576:8:J5b>h0>90>>6gnb;29?l242900c9;6:188yg5083;187>50z&6ga<0=;1/8k7584:8L3`<;68=4i4394?=n<:0;66g;f083>>i3=00;66sm39c95?5=83:p(8mk:677?!2a132>46F9f:l425<2<2cjn7>5;h60>5<202B=j6`861862>ofj3:17d:<:188k13>2900qo=82;390?6=8r.>oi48539'0c?=0<20D;h4n643>01>o3n80;66a;5883>>{e;1i1=7=50;2x 0ec2>??7):i9;:6<>N1n2d<:=4:8:kbf?6=3`>86=44o57:>5<<@?l0b:8?:4;8m07=831b8>4?::k7b4<722e?944?::a7=b=9391<7>t$4ag>2333->m576:8:J5b>h0>90>m6gnb;29?l242900c9;6:188yg5?<3;1?7>50z&6ga<0==1/8k7584:8L3`<;68l4i``94?=n<:0;66a;5883>>{e9<<1=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4:c:k65?6=3`>86=44i5d2>5<1<7>t$4ag>2353->m576:8:J5b>h0>90>i6g:1;29?l242900e9h>:188k13>2900qo?9d;397?6=8r.>oi48559'0c?=0<20D;h4n643>0`>i3=00;66sm14;95?2=83:p(8mk:671?!2a132>46F9f:l425<182c>=7>5;h60>5<5<<@?l0b:8?:738mdd=831b8>4?::m71<<722wi=8o51;694?6|,202B=j6`861856>o293:17d:<:188m1`62900c9;6:188yg71n3;1?7>50z&6ga<0==1/8k7584:8L3`<;6;=4i``94?=n<:0;66a;5883>>{e9?97):i9;:6<>N1n2d<:=494:k65?6=3`>86=44i5d2>5<1<7>t$4ag>2353->m576:8:J5b>h0>90=:6g:1;29?l242900e9h>:188k13>2900qo?81;397?6=8r.>oi48559'0c?=0<20D;h4n643>31>i3=00;66sm17295?2=83:p(8mk:671?!2a132>46F9f:l425<102c>=7>5;h60>5<5<7?53;294~"2km0<995+4g;9<0><@?l0b:8?:7;8mdd=831b8>4?::m71<<722wi=;<51;694?6|,202B=j6`86185e>o293:17d:<:188m1`62900c9;6:188yg70;3;1?7>50z&6ga<0==1/8k7584:8L3`<;6;l4i``94?=n<:0;66a;5883>>{e9?>1=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=49c:k65?6=3`>86=44i5d2>5<t$4ag>2333->m576:8:J5b>h0>90=i6gnb;29?l242900c9;6:188yg70=3;187>50z&6ga<0=;1/8k7584:8L3`<;6;h4i4394?=n<:0;66g;f083>>i3=00;66sm19`95?5=83:p(8mk:677?!2a132>46F9f:l425<082cjn7>5;h60>5<;0e8?50;9j06<722c?j<4?::m71<<722wi=5m51;194?6|,202B=j6`861846>ofj3:17d:<:188k13>2900qo?88;390?6=8r.>oi48539'0c?=0<20D;h4n643>25>o3n80;66a;5883>>{e91n1=7=50;2x 0ec2>??7):i9;:6<>N1n2d<:=484:kbf?6=3`>86=44o57:>5<<@?l0b:8?:678m07=831b8>4?::k7b4<722e?944?::a5=c=9391<7>t$4ag>2333->m576:8:J5b>h0>90<:6gnb;29?l242900c9;6:188yg70j3;187>50z&6ga<0=;1/8k7584:8L3`<;6:94i4394?=n<:0;66g;f083>>i3=00;66sm19d95?5=83:p(8mk:677?!2a132>46F9f:l425<002cjn7>5;h60>5<30e8?50;9j06<722c?j<4?::m71<<722wi=4>51;194?6|,202B=j6`86184e>ofj3:17d:<:188k13>2900qo?8f;390?6=8r.>oi48539'0c?=0<20D;h4n643>2d>o3n80;66a;5883>>{e90;1=7=50;2x 0ec2>??7):i9;:6<>N1n2d<:=48c:kbf?6=3`>86=44o57:>5<<@?l0b:8?:6f8m07=831b8>4?::k7b4<722e?944?::a5<4=9391<7>t$4ag>2333->m576:8:J5b>h0>9050z&6ga<0=;1/8k7584:8L3`<;6:h4i4394?=n<:0;66g;f083>>i3=00;66sm18195?5=83:p(8mk:677?!2a132>46F9f:l4255;h60>5<1<7>t$4ag>2353->m576:8:J5b>h0>903>6g:1;29?l242900e9h>:188k13>2900qo=na;397?6=8r.>oi48559'0c?=0<20D;h4n643>=5>i3=00;66sm38295?2=83:p(8mk:671?!2a132>46F9f:l425=7>5;h60>5<5<<@?l0b:8?:978mdd=831b8>4?::m71<<722wi?4?51;694?6|,202B=j6`8618;2>o293:17d:<:188m1`62900c9;6:188yg5fk3;1?7>50z&6ga<0==1/8k7584:8L3`<;6594i``94?=n<:0;66a;5883>>{e;091=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=478:k65?6=3`>86=44i5d2>5<1<7>t$4ag>2353->m576:8:J5b>h0>903m6g:1;29?l242900e9h>:188k13>2900qo=ne;397?6=8r.>oi48559'0c?=0<20D;h4n643>=d>i3=00;66sm38795?2=83:p(8mk:671?!2a132>46F9f:l425=7>5;h60>5<5<<@?l0b:8?:9f8mdd=831b8>4?::m71<<722wi?4851;694?6|,202B=j6`8618;a>o293:17d:<:188m1`62900c9;6:188yg5e83;1?7>50z&6ga<0==1/8k7584:8L3`<;65h4i``94?=n<:0;66a;5883>>{e;0=1=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=460:k65?6=3`>86=44i5d2>5<=93>1<7>t$4ag>2353->m576:8:J5b>h0>902>6g:1;29?l242900e9h>:188k13>2900qo=m2;397?6=8r.>oi48559'0c?=0<20D;h4n643><5>i3=00;66sm3`;95?5=83:p(8mk:677?!2a132>46F9f:l425<><2cjn7>5;h60>5<"0:3>3h6*;f88;1==O>o1e;;>5949j<7<722c3?7>5;n6:`?6=3th8844>:583>5}#=jn1;8<4$5d:>=3?3A>o3;3:17b::9;29?xd4=<0:6>4?:1y'1fb=j81/;?4;8e9'0c?=0<20D;h4n643><>>i31m0;66sm35c95?2=83:p(8mk:671?!2a132>46F9f:l425<>12c>=7>5;h60>5<5<<@?l0b:8?:8c8mdd=831b8>4?::m71<<722wi?8851;194?6|,>o3;3:17d:i1;29?j2213:17pl<6082>6<729q/9nj57468 1`>21?37E8i;o554??c3`ki6=44i5194?=h<<31<75rb274>4<4290;w);ld;`2?!152=2o7):i9;:6<>N1n2d<:=46e:k;6?6=3`286=44o5;g>5<<@?l0b:8?:8d8m07=831b8>4?::k7b4<722e?944?::a734=9391<7>t$4ag>2333->m576:8:J5b>h0>90j<6gnb;29?l242900c9;6:188yg5203;1?7>50z&6ga7:7d:&7b<l3:17pl<4e82>1<729q/9nj57408 1`>21?37E8i;o554?g53`?:6=44i5194?=n86*;f88;1==O>o1e;;>5a29jeg<722c??7>5;n66=?6=3th8944>:283>5}#=jn1n<5+73870e5<50;9j<6<722e?5i4?::a71c=93>1<7>t$4ag>2353->m576:8:J5b>h0>90j96g:1;29?l242900e9h>:188k13>2900qo=94;397?6=8r.>oi48559'0c?=0<20D;h4n643>d0>i3=00;66sm34c95?5=83:p(8mk:c38 24=<1n0(9h6:97;?M0a3g==<7o8;h:1>5<m6<4;:183!3dl3=>>6*;f88;1==O>o1e;;>5a99j14<722c??7>5;h6e5?6=3f>>57>5;|`020<62:0;6=u+5bf9302<,=l265;7;I4e?k1183k27dom:188m15=831d88750;9~f63e28086=4?{%7``?d63-=9696k;%6e=?>202B=j6`8618be>o?:3:17d6<:188k1?c2900qo=:0;390?6=8r.>oi48539'0c?=0<20D;h4n643>dd>o3n80;66a;5883>>{e;?<1=7=50;2x 0ec2>??7):i9;:6<>N1n2d<:=4nc:kbf?6=3`>86=44o57:>5<o7?53;294~"2km0i=6*82;6;`>"3n003955G6g9m336=im1b4?4?::k;7?6=3f>2h7>5;|`014<62=0;6=u+5bf9304<,=l265;7;I4e?k1183kn7d;>:188m15=831b8k?50;9l00?=831vn>88:080>5<7s-?hh79:4:&7b<6<729q/9nj5b09'37<30m1/8k7584:8L3`<;6o>4i9094?=n0:0;66a;9e83>>{e;<81=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4m1:k65?6=3`>86=44i5d2>5<t$4ag>g7<,>8185j4$5d:>=3?3A52900e5=50;9l0;i:080>5<7s-?hh79:4:&7b<6<729q/9nj5b09'37<30m1/8k7584:8L3`<;6o;4i9094?=n0:0;66a;9e83>>{e;o;1=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4m6:k65?6=3`>86=44i5d2>5<t$4ag>g7<,>8185j4$5d:>=3?3A52900e5=50;9l0h=:087>5<7s-?hh79:2:&7b<4?:1y'1fb=?<>0(9h6:97;?M0a3g==<7ln;hca>5<46F9f:l4257>5;h:0>5<202B=j6`8618a`>ofj3:17d:<:188k13>2900qo=if;397?6=8r.>oi4m1:&46?2?l2.?j447599K2c=i??:1nh5f8383>>o?;3:17b:6d;29?xd4n=0:694?:1y'1fb=?<80(9h6:97;?M0a3g==<7li;h72>5<4<4290;w);ld;560>"3n003955G6g9m336=k91bmo4?::k77?6=3f>>57>5;|`745<62:0;6=u+5bf9f4=#?;0?4i5+4g;9<0><@?l0b:8?:b38m=4=831b4>4?::m7=a<722wi?k;51;694?6|,202B=j6`8618`6>o293:17d:<:188m1`62900c9;6:188yg27j3;1?7>50z&6ga<0==1/8k7584:8L3`<;6n=4i``94?=n<:0;66a;5883>>{e<9;1=7=50;2x 0ec2k;0(:<549f8 1`>21?37E8i;o554?e33`296=44i9194?=h<0n1<75rb2d5>4<3290;w);ld;566>"3n003955G6g9m336=k<1b9<4?::k77?6=3`>m=7>5;n66=?6=3th?:283>5}#=jn1;8:4$5d:>=3?3A=:080>5<7s-?hh7l>;%51>1>c3->m576:8:J5b>h0>90h;6g72;29?l>42900c97k:188yg5a?3;187>50z&6ga<0=;1/8k7584:8L3`<;6n64i4394?=n<:0;66g;f083>>i3=00;66sm41f95?5=83:p(8mk:677?!2a132>46F9f:l4255;h60>5<"0:3>3h6*;f88;1==O>o1e;;>5c`9j<7<722c3?7>5;n6:`?6=3th8j54>:583>5}#=jn1;8<4$5d:>=3?3A>o3;3:17b::9;29?xd38=0:6>4?:1y'1fb=j81/;?4;8e9'0c?=0<20D;h4n643>fb>i31m0;66sm3g;95?2=83:p(8mk:671?!2a132>46F9f:l425=7>5;h60>5<5<;j7?53;294~"2km0<995+4g;9<0><@?l0b:8?:bd8mdd=831b8>4?::m71<<722wi8=;51;194?6|,>o3;3:17d:i1;29?j2213:17pl;1182>6<729q/9nj57468 1`>21?37E8i;o554?b53`ki6=44i5194?=h<<31<75rb2d`>4<4290;w);ld;`2?!152=2o7):i9;:6<>N1n2d<:=4k3:k;6?6=3`286=44o5;g>5<;;7?53;294~"2km0<995+4g;9<0><@?l0b:8?:e68mdd=831b8>4?::m71<<722wi=n851;694?6|,202B=j6`8618g1>o293:17d:<:188m1`62900c9;6:188yg7c13;1?7>50z&6ga<0==1/8k7584:8L3`<;6i84i``94?=n<:0;66a;5883>>{e9jl1=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4k7:k65?6=3`>86=44i5d2>5<1<7>t$4ag>2353->m576:8:J5b>h0>90o56g:1;29?l242900e9h>:188k13>2900qo?j4;397?6=8r.>oi48559'0c?=0<20D;h4n643>ag>i3=00;66sm1e395?2=83:p(8mk:671?!2a132>46F9f:l425=7>5;h60>5<5<<@?l0b:8?:ea8mdd=831b8>4?::m71<<722wi=i<51;694?6|,202B=j6`8618g`>o293:17d:<:188m1`62900c9;6:188yg7b>3;1?7>50z&6ga<0==1/8k7584:8L3`<;6ik4i``94?=n<:0;66a;5883>>{e9m91=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4kf:k65?6=3`>86=44i5d2>5<1<7>t$4ag>2353->m576:8:J5b>h0>90n=6g:1;29?l242900e9h>:188k13>2900qo?j8;397?6=8r.>oi48559'0c?=0<20D;h4n643>`4>i3=00;66sm1e795?2=83:p(8mk:671?!2a132>46F9f:l425=7>5;h60>5<5<<@?l0b:8?:d68mdd=831b8>4?::m71<<722wi=i851;694?6|,202B=j6`8618f1>o293:17d:<:188m1`62900c9;6:188yg7bi3;1?7>50z&6ga<0==1/8k7584:8L3`<;6h84i``94?=n<:0;66a;5883>>{e9m=1=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4j7:k65?6=3`>86=44i5d2>5<1<7>t$4ag>2353->m576:8:J5b>h0>90n56g:1;29?l242900e9h>:188k13>2900qo?ka;397?6=8r.>oi48559'0c?=0<20D;h4n643>`g>i3=00;66sm1b:95?2=83:p(8mk:671?!2a132>46F9f:l425=7>5;h60>5<5<<@?l0b:8?:da8mdd=831b8>4?::m71<<722wi=n751;694?6|,202B=j6`8618f`>o293:17d:<:188m1`62900c9;6:188yg7ck3;1?7>50z&6ga<0==1/8k7584:8L3`<;6hk4i``94?=n<:0;66a;5883>>{e9jk1=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4jf:k65?6=3`>86=44i5d2>5<1<7>t$4ag>2353->m576:8:J5b>h0>90m=6g:1;29?l242900e9h>:188k13>2900qo?ke;397?6=8r.>oi48559'0c?=0<20D;h4n643>c4>i3=00;66sm1ba95?2=83:p(8mk:671?!2a132>46F9f:l425=7>5;h60>5<5<<@?l0b:8?:g68mdd=831b8>4?::m71<<722wi=nj51;694?6|,202B=j6`8618e1>o293:17d:<:188m1`62900c9;6:188yg7b83;1?7>50z&6ga<0==1/8k7584:8L3`<;6k84i``94?=n<:0;66a;5883>>{e9jo1=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4i7:k65?6=3`>86=44i5d2>5<t$4ag>2333->m576:8:J5b>h0>90m56gnb;29?l242900c9;6:188yg5483;187>50z&6ga<0=;1/8k7584:8L3`<;6ko4i4394?=n<:0;66g;f083>>i3=00;66sm32395?2=83:p(8mk:671?!2a132>46F9f:l425=7>5;h60>5<5<7?54;294~"2km0<9?5+4g;9<0><@?l0b:8?:ga8m07=831b8>4?::k7b4<722e?944?::a765=93>1<7>t$4ag>2353->m576:8:J5b>h0>90mh6g:1;29?l242900e9h>:188k13>2900qo=<4;390?6=8r.>oi48539'0c?=0<20D;h4n643>cc>o3n80;66a;5883>>{e;:?1=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4if:k65?6=3`>86=44i5d2>5<:188m15=831b8k?50;9l00?=831vnno51;694?6|,202B=j6`8618244=n=80;66g;3;29?l2a93:17b::9;29?xdb83;1?7>50z&6ga<0==1/8k7584:8L3`<;6<>=;hca>5<?97):i9;:6<>N1n2d<:=4>029j14<722c??7>5;h6e5?6=3f>>57>5;|`f5?7=;3:1t$4ag>g7<,>8185m4$5d:>=3?3Ao?:3:17d6<:188k1?c2900qomk:087>5<7s-?hh79:2:&7b<>o3n80;66a;5883>>{em;0:6>4?:1y'1fb=?<>0(9h6:97;?M0a3g==<7??7:kbf?6=3`>86=44o57:>5<46F9f:l425<6811b4?4?::k;7?6=3f>2h7>5;|``a?7=<3:1:188m15=831b8k?50;9l00?=831vnh=51;194?6|,202B=j6`861824d=nik0;66g;3;29?j2213:17plk9;397?6=8r.>oi4m1:&46?2?k2.?j447599K2c=i??:1==l4i9094?=n0:0;66a;9e83>>{eko0:694?:1y'1fb=?<80(9h6:97;?M0a3g==<7??c:k65?6=3`>86=44i5d2>5<4<4290;w);ld;560>"3n003955G6g9m336=99n0ell50;9j06<722e?944?::a`d<62:0;6=u+5bf9f4=#?;0?4n5+4g;9<0><@?l0b:8?:02f?l>52900e5=50;9l051;694?6|,202B=j6`861824c=n=80;66g;3;29?l2a93:17b::9;29?xdb=3;1?7>50z&6ga<0==1/8k7584:8L3`<;65<21?37E8i;o554?7692c3>7>5;h:0>5<4<3290;w);ld;566>"3n003955G6g9m336=9880e8?50;9j06<722c?j<4?::m71<<722wii;4>:283>5}#=jn1;8:4$5d:>=3?3Aofj3:17d:<:188k13>2900qojl:080>5<7s-?hh7l>;%51>1>d3->m576:8:J5b>h0>90:=95f8383>>o?;3:17b:6d;29?xdc:3;187>50z&6ga<0=;1/8k7584:8L3`<;65<46F9f:l425<69?1bmo4?::k77?6=3f>>57>5;|`g`?7=;3:1"0:3>3o6*;f88;1==O>o1e;;>51058m=4=831b4>4?::m7=a<722wih>4>:583>5}#=jn1;8<4$5d:>=3?3Ao293:17d:<:188m1`62900c9;6:188ygc?28086=4?{%7``?12<2.?j447599K2c=i??:1=<74i``94?=n<:0;66a;5883>>{el=0:6>4?:1y'1fb=j81/;?4;8b9'0c?=0<20D;h4n643>47f3`296=44i9194?=h<0n1<75rbed95?5=83:p(8mk:677?!2a132>46F9f:l425<69k1bmo4?::k77?6=3f>>57>5;|`ff?7=<3:1:188m15=831b8k?50;9l00?=831vn<>>:080>5<7s-?hh79:4:&7b<>i3=00;66smeb82>1<729q/9nj57408 1`>21?37E8i;o554?76m2c>=7>5;h60>5<5<7?53;294~"2km0<995+4g;9<0><@?l0b:8?:03e?lge2900e9=50;9l00?=831vnhj51;694?6|,202B=j6`8618265=n=80;66g;3;29?l2a93:17b::9;29?xd68:0:6>4?:1y'1fb=?<>0(9h6:97;?M0a3g==<7?=1:kbf?6=3`>86=44o57:>5<>6*;f88;1==O>o1e;;>51308m07=831b8>4?::k7b4<722e?944?::a552=9391<7>t$4ag>2333->m576:8:J5b>h0>90:>>5fac83>>o3;3:17b::9;29?xdbn3;187>50z&6ga<0=;1/8k7584:8L3`<;6<<;;h72>5<4<4290;w);ld;560>"3n003955G6g9m336=9;?0ell50;9j06<722e?944?::ab5<62=0;6=u+5bf9304<,=l265;7;I4e?k1183;9:6g:1;29?l242900e9h>:188k13>2900qo??6;397?6=8r.>oi48559'0c?=0<20D;h4n643>4403`ki6=44i5194?=h<<31<75rbg395?2=83:p(8mk:671?!2a132>46F9f:l425<6:11b9<4?::k77?6=3`>m=7>5;n66=?6=3th:<:4>:283>5}#=jn1;8:4$5d:>=3?3Aofj3:17d:<:188k13>2900qoh=:087>5<7s-?hh79:2:&7b<>o3n80;66a;5883>>{e9921=7=50;2x 0ec2>??7):i9;:6<>N1n2d<:=4>2c9jeg<722c??7>5;n66=?6=3thm?7?54;294~"2km0<9?5+4g;9<0><@?l0b:8?:00`?l362900e9=50;9j0c7=831d88750;9~f46>28086=4?{%7``?12<2.?j447599K2c=i??:1=?j4i``94?=n<:0;66a;5883>>{e99:1=7=50;2x 0ec2>??7):i9;:6<>N1n2d<:=4>2d9jeg<722c??7>5;n66=?6=3th8n>4>:583>5}#=jn1;8<4$5d:>=3?3Ao293:17d:<:188m1`62900c9;6:188yg5d13;1?7>50z&6ga<0==1/8k7584:8L3`<;6<=?;hca>5<6<4;:183!3dl3=>>6*;f88;1==O>o1e;;>51238m07=831b8>4?::k7b4<722e?944?::a7fg=9391<7>t$4ag>2333->m576:8:J5b>h0>90:??5fac83>>o3;3:17b::9;29?xd4j?0:694?:1y'1fb=?<80(9h6:97;?M0a3g==<7?<3:k65?6=3`>86=44i5d2>5<;4i4394?=n<:0;66g;f083>>i3=00;66sm3ba95?5=83:p(8mk:677?!2a132>46F9f:l425<6;?1bmo4?::k77?6=3f>>57>5;|`0f<<62=0;6=u+5bf9304<,=l265;7;I4e?k1183;8;6g:1;29?l242900e9h>:188k13>2900qo=ld;397?6=8r.>oi48559'0c?=0<20D;h4n643>45?3`ki6=44i5194?=h<<31<75rb2`a>4<3290;w);ld;566>"3n003955G6g9m336=9:30e8?50;9j06<722c?j<4?::m71<<722wi?nk51;194?6|,202B=j6`861827d=nik0;66g;3;29?j2213:17pl1<729q/9nj57408 1`>21?37E8i;o554?74j2c>=7>5;h60>5<5<<@?l0b:8?:01`?lge2900e9=50;9l00?=831vn>lk:087>5<7s-?hh79:2:&7b<>o3n80;66a;5883>>{e;m:1=7=50;2x 0ec2>??7):i9;:6<>N1n2d<:=4>3d9jeg<722c??7>5;n66=?6=3th8nh4>:583>5}#=jn1;8<4$5d:>=3?3Ao293:17d:<:188m1`62900c9;6:188yg5c93;1?7>50z&6ga<0==1/8k7584:8L3`<;6<:?;hca>5<86*;f88;1==O>o1e;;>51538mdd=831b8>4?::m71<<722wi=4:51;694?6|,202B=j6`8618207=n=80;66g;3;29?l2a93:17b::9;29?xd6i=0:6>4?:1y'1fb=?<>0(9h6:97;?M0a3g==<7?;3:kbf?6=3`>86=44o57:>5<<@?l0b:8?:067?l362900e9=50;9j0c7=831d88750;9~f4g228086=4?{%7``?12<2.?j447599K2c=i??:1=9;4i``94?=n<:0;66a;5883>>{e90=1=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4>479j14<722c??7>5;h6e5?6=3f>>57>5;|`2e3<62:0;6=u+5bf9302<,=l265;7;I4e?k1183;?;6gnb;29?l242900c9;6:188yg7>03;187>50z&6ga<0=;1/8k7584:8L3`<;6<:7;h72>5<4<4290;w);ld;560>"3n003955G6g9m336=9=30ell50;9j06<722e?944?::a51<7>t$4ag>2353->m576:8:J5b>h0>90:8l5f5083>>o3;3:17d:i1;29?j2213:17pl>a982>6<729q/9nj57468 1`>21?37E8i;o554?73j2cjn7>5;h60>5<h7d;>:188m15=831b8k?50;9l00?=831vn5<7s-?hh79:4:&7b<>i3=00;66sm18a95?2=83:p(8mk:671?!2a132>46F9f:l425<6m=7>5;n66=?6=3th:ml4>:283>5}#=jn1;8:4$5d:>=3?3Aofj3:17d:<:188k13>2900qo?6d;390?6=8r.>oi48539'0c?=0<20D;h4n643>4373`?:6=44i5194?=n86*;f88;1==O>o1e;;>51438mdd=831b8>4?::m71<<722wi=4k51;694?6|,202B=j6`8618217=n=80;66g;3;29?l2a93:17b::9;29?xd6ij0:6>4?:1y'1fb=?<>0(9h6:97;?M0a3g==<7?:3:kbf?6=3`>86=44o57:>5<<@?l0b:8?:077?lge2900e9=50;9l00?=831vn>j=:087>5<7s-?hh79:2:&7b<>o3n80;66a;5883>>{e;l21=7=50;2x 0ec2>??7):i9;:6<>N1n2d<:=4>579jeg<722c??7>5;n66=?6=3th8h94>:583>5}#=jn1;8<4$5d:>=3?3Ao293:17d:<:188m1`62900c9;6:188yg5b13;1?7>50z&6ga<0==1/8k7584:8L3`<;6<;7;hca>5<6<4;:183!3dl3=>>6*;f88;1==O>o1e;;>514;8m07=831b8>4?::k7b4<722e?944?::a7`g=9391<7>t$4ag>2333->m576:8:J5b>h0>90:9l5fac83>>o3;3:17b::9;29?xd4l>0:694?:1y'1fb=?<80(9h6:97;?M0a3g==<7?:b:k65?6=3`>86=44i5d2>5<>i3=00;66sm3da95?5=83:p(8mk:677?!2a132>46F9f:l425<6=l1bmo4?::k77?6=3f>>57>5;|`0`d<62=0;6=u+5bf9304<,=l265;7;I4e?k1183;>j6g:1;29?l242900e9h>:188k13>2900qo=jd;397?6=8r.>oi48559'0c?=0<20D;h4n643>4073`ki6=44i5194?=h<<31<75rb2fa>4<3290;w);ld;566>"3n003955G6g9m336=9?;0e8?50;9j06<722c?j<4?::m71<<722wi?hk51;194?6|,202B=j6`8618227=nik0;66g;3;29?j2213:17pl1<729q/9nj57408 1`>21?37E8i;o554?71;2c>=7>5;h60>5<5<<@?l0b:8?:047?lge2900e9=50;9l00?=831vn>jk:087>5<7s-?hh79:2:&7b<>o3n80;66a;5883>>{e;o:1=7=50;2x 0ec2>??7):i9;:6<>N1n2d<:=4>679jeg<722c??7>5;n66=?6=3th8i:4>:283>5}#=jn1;8:4$5d:>=3?3Aofj3:17d:<:188k13>2900qo?nd;390?6=8r.>oi48539'0c?=0<20D;h4n643>40?3`?:6=44i5194?=n86*;f88;1==O>o1e;;>517;8mdd=831b8>4?::m71<<722wi=lk51;694?6|,202B=j6`861822d=n=80;66g;3;29?l2a93:17b::9;29?xd6jl0:6>4?:1y'1fb=?<>0(9h6:97;?M0a3g==<7?9b:kbf?6=3`>86=44o57:>5<<@?l0b:8?:04`?l362900e9=50;9j0c7=831d88750;9~f4da28086=4?{%7``?12<2.?j447599K2c=i??:1=;j4i``94?=n<:0;66a;5883>>{e9k;1=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4>6d9j14<722c??7>5;h6e5?6=3f>>57>5;|`2g5<62:0;6=u+5bf9302<,=l265;7;I4e?k1183;=j6gnb;29?l242900c9;6:188yg7e;3;187>50z&6ga<0=;1/8k7584:8L3`<;6<9?;h72>5<4<4290;w);ld;560>"3n003955G6g9m336=9>;0ell50;9j06<722e?944?::a5g2=93>1<7>t$4ag>2353->m576:8:J5b>h0>90:;?5f5083>>o3;3:17d:i1;29?j2213:17pl>c382>6<729q/9nj57468 1`>21?37E8i;o554?70;2cjn7>5;h60>5<:188m15=831b8k?50;9l00?=831vn5<7s-?hh79:4:&7b<>i3=00;66sm1c495?2=83:p(8mk:671?!2a132>46F9f:l425<6??1b9<4?::k77?6=3`>m=7>5;n66=?6=3th:o94>:283>5}#=jn1;8:4$5d:>=3?3Aofj3:17d:<:188k13>2900qo?m7;390?6=8r.>oi48539'0c?=0<20D;h4n643>41?3`?:6=44i5194?=n6<4<:183!3dl3=>86*;f88;1==O>o1e;;>516;8mdd=831b8>4?::m71<<722wi=om51;194?6|,202B=j6`861823d=nik0;66g;3;29?j2213:17pl=d782>1<729q/9nj57408 1`>21?37E8i;o554?70j2c>=7>5;h60>5<5<"3n003955G6g9m336=9>i0e5<50;9j<6<722c387>5;n6:`?6=3th9h:4>:583>5}#=jn1;8<4$5d:>=3?3Ao293:17d:<:188m1`62900c9;6:188yg4b:3;1?7>50z&6ga7:62:&7b<>i31m0;66sm2e:95?2=83:p(8mk:671?!2a132>46F9f:l425<6?o1b9<4?::k77?6=3`>m=7>5;n66=?6=3th9h44>:583>5}#=jn1;8<4$5d:>=3?3Ao293:17d:<:188m1`62900c9;6:188yg4b;3;1?7>50z&6ga7:62:&7b<>i31m0;66sm2e`95?2=83:p(8mk:671?!2a132>46F9f:l425<60;1b9<4?::k77?6=3`>m=7>5;n66=?6=3th9hn4>:583>5}#=jn1;8<4$5d:>=3?3Ao293:17d:<:188m1`62900c9;6:188yg4cm3;187>50z&6ga<0=;1/8k7584:8L3`<;6<6;;h72>5<4<4290;w);ld;`2?!152=397):i9;:6<>N1n2d<:=4>849j<7<722c3?7>5;n6:`?6=3th9jn4>:583>5}#=jn1;8<4$5d:>=3?3Ao293:17d:<:188m1`62900c9;6:188yg5713;197>50z&6ga7:83:&7b<>o?<3:17d6::188k1?c2900qooi48539'0c?=0<20D;h4n643>4>?3`?:6=44i5194?=n46F9f:l425<6001b4?4?::k;7?6=3`2?6=44i9794?=h<0n1<75rb221>4<3290;w);ld;566>"3n003955G6g9m336=91k0e8?50;9j06<722c?j<4?::m71<<722wi?=;51;694?6|,202B=j6`861824>d3`296=44i9194?=n0=0;66g75;29?j2>l3:17pl=fd82>1<729q/9nj57408 1`>21?37E8i;o554?7?l2c>=7>5;h60>5<5<"3n003955G6g9m336=91o0e5<50;9j<6<722c387>5;h:6>5<:188m15=831b8k?50;9l00?=831vn>>l:080>5<7s-?hh7l>;%51>1?53->m576:8:J5b>h0>90:5=5f8383>>o?;3:17b:6d;29?xd48=0:694?:1y'1fb=?<80(9h6:97;?M0a3g==<7?61:k65?6=3`>86=44i5d2>5<:188m15=831b8k?50;9l00?=831vn?mi:087>5<7s-?hh7l>;%51>1123->m576:8:J5b>h0>90:5>5f8383>>o?;3:17d6;:188k1?c2900qooi48539'0c?=0<20D;h4n643>4?33`?:6=44i5194?=n46F9f:l425<61<1b4?4?::k;7?6=3`2?6=44o5;g>5<<@?l0b:8?:0;5?l362900e9=50;9j0c7=831d88750;9~f7b6280?6=4?{%7``?d63-=9699:;%6e=?>202B=j6`86182=2=n0;0;66g73;29?l>32900c97k:188yg4dk3;187>50z&6ga<0=;1/8k7584:8L3`<;6<77;h72>5<4<3290;w);ld;566>"3n003955G6g9m336=9030e8?50;9j06<722c?j<4?::m71<<722wi>nk51;694?6|,202B=j6`86182=d=n=80;66g;3;29?l2a93:17b::9;29?xd5100:684?:1y'1fb=j81/;?4;919'0c?=0<20D;h4n643>4?e3`296=44i9194?=n0=0;66g75;29?j2>l3:17pl=9482>1<729q/9nj57408 1`>21?37E8i;o554?7>k2c>=7>5;h60>5<5<"3n003955G6g9m336=90n0e5<50;9j<6<722c387>5;h:6>5<:188m15=831b8k?50;9l00?=831vn?7m:080>5<7s-?hh7l>;%51>1?53->m576:8:J5b>h0>90:5k5f8383>>o?;3:17b:6d;29?xd51>0:694?:1y'1fb=?<80(9h6:97;?M0a3g==<7?n0:k65?6=3`>86=44i5d2>5<:188m15=831b8k?50;9l00?=831vn>5<7s-?hh7l>;%51>1?73->m576:8:J5b>h0>90:m?5f8383>>o?;3:17d6;:188m=3=831d84j50;9~f640280?6=4?{%7``?12:2.?j447599K2c=i??:1=l=4i4394?=n<:0;66g;f083>>i3=00;66sm33a95?3=83:p(8mk:c38 24=<0:0(9h6:97;?M0a3g==<7?n4:k;6?6=3`286=44i9694?=n0<0;66a;9e83>>{e;;21=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4>a49j14<722c??7>5;h6e5?6=3f>>57>5;|`06a<62:0;6=u+5bf9f4=#?;0?5?5+4g;9<0><@?l0b:8?:0c5?l>52900e5=50;9l0<6:087>5<7s-?hh79:2:&7b<>o3n80;66a;5883>>{e;;k1=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4>a99j14<722c??7>5;h6e5?6=3f>>57>5;|`1e<<62:0;6=u+5bf9f4=#?;0?4i5+4g;9<0><@?l0b:8?:0c:?l>52900e5=50;9l05<7s-?hh79:2:&7b<>o3n80;66a;5883>>{e:hh1=7=50;2x 0ec2k;0(:<549f8 1`>21?37E8i;o554?7fj2c3>7>5;h:0>5<:188m15=831b8k?50;9l00?=831vn?ol:080>5<7s-?hh7l>;%51>1>c3->m576:8:J5b>h0>90:mi5f8383>>o?;3:17b:6d;29?xd5i80:694?:1y'1fb=?<80(9h6:97;?M0a3g==<7?ne:k65?6=3`>86=44i5d2>5<"0:3>3h6*;f88;1==O>o1e;;>51`d8m=4=831b4>4?::m7=a<722wi>l<51;694?6|,202B=j6`86182f5=n=80;66g;3;29?l2a93:17b::9;29?xd5il0:6>4?:1y'1fb=j81/;?4;8e9'0c?=0<20D;h4n643>4d63`296=44i9194?=h<0n1<75rb3c0>4<3290;w);ld;566>"3n003955G6g9m336=9k80e8?50;9j06<722c?j<4?::m71<<722wi>lh51;194?6|,42900c97k:188yg4f<3;187>50z&6ga<0=;1/8k7584:8L3`<;65<4<4290;w);ld;`2?!152=2o7):i9;:6<>N1n2d<:=4>b49j<7<722c3?7>5;n6:`?6=3th9m84>:583>5}#=jn1;8<4$5d:>=3?3Ao293:17d:<:188m1`62900c9;6:188yg4e93;1?7>50z&6ga7:7d:&7b<>i31m0;66sm2`495?2=83:p(8mk:671?!2a132>46F9f:l425<6j11b9<4?::k77?6=3`>m=7>5;n66=?6=3th9n?4>:283>5}#=jn1n<5+7387>i3=00;66sm2c195?5=83:p(8mk:c38 24=<1n0(9h6:97;?M0a3g==<7?mb:k;6?6=3`286=44o5;g>5<<@?l0b:8?:0``?l362900e9=50;9j0c7=831d88750;9~f7gf28086=4?{%7``?d63-=9696k;%6e=?>202B=j6`86182fa=n0;0;66g73;29?j2>l3:17pl=9g82>1<729q/9nj57408 1`>21?37E8i;o554?7em2c>=7>5;h60>5<5<"3n003955G6g9m336=9kl0e5<50;9j<6<722e?5i4?::a6`0=93>1<7>t$4ag>2353->m576:8:J5b>h0>90:o=5f5083>>o3;3:17d:i1;29?j2213:17pl=f282>6<729q/9nj5b09'37<30m1/8k7584:8L3`<;6;h:1>5<>6*;f88;1==O>o1e;;>51b08m07=831b8>4?::k7b4<722e?944?::a6c2=9391<7>t$4ag>g7<,>8185j4$5d:>=3?3Ao?:3:17d6<:188k1?c2900qooi48539'0c?=0<20D;h4n643>4e33`?:6=44i5194?=n6<4<:183!3dl3h:7)9=:5:g?!2a132>46F9f:l425<6k<1b4?4?::k;7?6=3f>2h7>5;|`1ad<62=0;6=u+5bf9304<,=l265;7;I4e?k1183;h:6g:1;29?l242900e9h>:188k13>2900qooi4m1:&46?2?l2.?j447599K2c=i??:1=n94i9094?=n0:0;66a;9e83>>{e:lh1=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4>c99j14<722c??7>5;h6e5?6=3f>>57>5;|`1b2<62:0;6=u+5bf9f4=#?;0?4i5+4g;9<0><@?l0b:8?:0a:?l>52900e5=50;9l05<7s-?hh79:2:&7b<>o3n80;66a;5883>>{e:o21=7=50;2x 0ec2k;0(:<549f8 1`>21?37E8i;o554?7dj2c3>7>5;h:0>5<:188m15=831b8k?50;9l00?=831vn?h6:080>5<7s-?hh7l>;%51>1>c3->m576:8:J5b>h0>90:oi5f8383>>o?;3:17b:6d;29?xd5ml0:694?:1y'1fb=?<80(9h6:97;?M0a3g==<7?le:k65?6=3`>86=44i5d2>5<"0:3>3h6*;f88;1==O>o1e;;>51bd8m=4=831b4>4?::m7=a<722wi>hh51;694?6|,202B=j6`86182`5=n=80;66g;3;29?l2a93:17b::9;29?xd5nk0:6>4?:1y'1fb=j81/;?4;8e9'0c?=0<20D;h4n643>4b63`296=44i9194?=h<0n1<75rb3d3>4<3290;w);ld;566>"3n003955G6g9m336=9m80e8?50;9j06<722c?j<4?::m71<<722wi>k<51;194?6|,42900c97k:188yg4b?3;187>50z&6ga<0=;1/8k7584:8L3`<;65<4<4290;w);ld;`2?!152=2o7):i9;:6<>N1n2d<:=4>d49j<7<722c3?7>5;n6:`?6=3th8=<4>:583>5}#=jn1;8<4$5d:>=3?3Ao293:17d:<:188m1`62900c9;6:188yg56m3;1?7>50z&6ga7:7d:&7b<>i31m0;66sm30195?2=83:p(8mk:671?!2a132>46F9f:l425<6l11b9<4?::k77?6=3`>m=7>5;n66=?6=3th8=k4>:283>5}#=jn1n<5+7387>i3=00;66sm33295?5=83:p(8mk:c38 24=<1n0(9h6:97;?M0a3g==<7?kb:k;6?6=3`286=44o5;g>5<<@?l0b:8?:0f`?l362900e9=50;9j0c7=831d88750;9~f64628086=4?{%7``?d63-=9696k;%6e=?>202B=j6`86182`a=n0;0;66g73;29?j2>l3:17pl<1782>1<729q/9nj57408 1`>21?37E8i;o554?7cm2c>=7>5;h60>5<5<7?53;294~"2km0i=6*82;6;`>"3n003955G6g9m336=9ml0e5<50;9j<6<722e?5i4?::a741=93>1<7>t$4ag>2353->m576:8:J5b>h0>90:i=5f5083>>o3;3:17d:i1;29?j2213:17pl<2282>6<729q/9nj5b09'37<30m1/8k7584:8L3`<;6;h:1>5<>6*;f88;1==O>o1e;;>51d08m07=831b8>4?::k7b4<722e?944?::a772=9391<7>t$4ag>g7<,>8185j4$5d:>=3?3Ao?:3:17d6<:188k1?c2900qo=>9;390?6=8r.>oi48539'0c?=0<20D;h4n643>4c33`?:6=44i5194?=n6<4<:183!3dl3h:7)9=:5:g?!2a132>46F9f:l425<6m<1b4?4?::k;7?6=3f>2h7>5;|`05d<62=0;6=u+5bf9304<,=l265;7;I4e?k1183;n:6g:1;29?l242900e9h>:188k13>2900qo==6;397?6=8r.>oi4m1:&46?2?l2.?j447599K2c=i??:1=h94i9094?=n0:0;66a;9e83>>{e;8h1=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4>e99j14<722c??7>5;h6e5?6=3f>>57>5;|`05a<62:0;6=u+5bf9f4=#?;0?4i5+4g;9<0><@?l0b:8?:0g:?l>52900e5=50;9l0?=:087>5<7s-?hh79:2:&7b<>o3n80;66a;5883>>{e:kl1=7=50;2x 0ec2k;0(:<549f8 1`>21?37E8i;o554?7bj2c3>7>5;h:0>5<:188m15=831b8k?50;9l00?=831vn?m>:080>5<7s-?hh7l>;%51>1>c3->m576:8:J5b>h0>90:ii5f8383>>o?;3:17b:6d;29?xd5j?0:694?:1y'1fb=?<80(9h6:97;?M0a3g==<7?je:k65?6=3`>86=44i5d2>5<"0:3>3h6*;f88;1==O>o1e;;>51dd8m=4=831b4>4?::m7=a<722wi>o951;694?6|,202B=j6`86182b5=n=80;66g;3;29?l2a93:17b::9;29?xd5k:0:6>4?:1y'1fb=j81/;?4;8e9'0c?=0<20D;h4n643>4`63`296=44i9194?=h<0n1<75rb3`;>4<3290;w);ld;566>"3n003955G6g9m336=9o80e8?50;9j06<722c?j<4?::m71<<722wi>n:51;194?6|,42900c97k:188yg4e13;187>50z&6ga<0=;1/8k7584:8L3`<;65<4<4290;w);ld;`2?!152=2o7):i9;:6<>N1n2d<:=4>f49j<7<722c3?7>5;n6:`?6=3th9nl4>:583>5}#=jn1;8<4$5d:>=3?3Ao293:17d:<:188m1`62900c9;6:188yg4d>3;1?7>50z&6ga7:7d:&7b<>i31m0;66sm2c`95?2=83:p(8mk:671?!2a132>46F9f:l425<6n11b9<4?::k77?6=3`>m=7>5;n66=?6=3th9o:4>:283>5}#=jn1n<5+7387>i3=00;66sm2b:95?5=83:p(8mk:c38 24=<1n0(9h6:97;?M0a3g==<7?ib:k;6?6=3`286=44o5;g>5<<@?l0b:8?:0d`?l362900e9=50;9j0c7=831d88750;9~f7e>28086=4?{%7``?d63-=9696k;%6e=?>202B=j6`86182ba=n0;0;66g73;29?j2>l3:17pl=bd82>1<729q/9nj57408 1`>21?37E8i;o554?7am2c>=7>5;h60>5<5<"3n003955G6g9m336=9ol0e5<50;9j<6<722e?5i4?::a6g3=93>1<7>t$4ag>2353->m576:8:J5b>h0>909<=5f5083>>o3;3:17d:i1;29?j2213:17pl>f982>6<729q/9nj5b09'37<30m1/8k7584:8L3`<;6?>>;h:1>5<>6*;f88;1==O>o1e;;>52108m07=831b8>4?::k7b4<722e?944?::a5c?=9391<7>t$4ag>g7<,>8185j4$5d:>=3?3Ao?:3:17d6<:188k1?c2900qo?jf;390?6=8r.>oi48539'0c?=0<20D;h4n643>7633`?:6=44i5194?=n46F9f:l425<58<1b4?4?::k;7?6=3f>2h7>5;|`2b5<62=0;6=u+5bf9304<,=l265;7;I4e?k11838;:6g:1;29?l242900e9h>:188k13>2900qo?ib;397?6=8r.>oi4m1:&46?2?l2.?j447599K2c=i??:1>=94i9094?=n0:0;66a;9e83>>{e9o;1=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4=099j14<722c??7>5;h6e5?6=3f>>57>5;|`2bf<62:0;6=u+5bf9f4=#?;0?4i5+4g;9<0><@?l0b:8?:32:?l>52900e5=50;9l05<7s-?hh79:2:&7b<>o3n80;66a;5883>>{e9o91=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4=0c9j14<722c??7>5;h6e5?6=3f>>57>5;|`2b1<62=0;6=u+5bf9304<,=l265;7;I4e?k11838;o6g:1;29?l242900e9h>:188k13>2900qo?i5;390?6=8r.>oi48539'0c?=0<20D;h4n643>76c3`?:6=44i5194?=n46F9f:l425<58l1b4?4?::k;7?6=3f>2h7>5;|`2b3<62=0;6=u+5bf9304<,=l265;7;I4e?k11838;j6g:1;29?l242900e9h>:188k13>2900qooi4m1:&46?2?l2.?j447599K2c=i??:1><>4i9094?=n0:0;66a;9e83>>{e9o=1=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4=109j14<722c??7>5;h6e5?6=3f>>57>5;|`2a`<62=0;6=u+5bf9304<,=l265;7;I4e?k11838:>6g:1;29?l242900e9h>:188k13>2900qo<;c;397?6=8r.>oi4m1:&46?2?l2.?j447599K2c=i??:1><=4i9094?=n0:0;66a;9e83>>{e:=;1=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4=159j14<722c??7>5;h6e5?6=3f>>57>5;|`10a<62:0;6=u+5bf9f4=#?;0?4i5+4g;9<0><@?l0b:8?:336?l>52900e5=50;9l05<7s-?hh79:2:&7b<>o3n80;66a;5883>>{e:=o1=7=50;2x 0ec2k;0(:<549f8 1`>21?37E8i;o554?46?2c3>7>5;h:0>5<:188m15=831b8k?50;9l00?=831vn?:i:080>5<7s-?hh7l>;%51>1>c3->m576:8:J5b>h0>909=45f8383>>o?;3:17b:6d;29?xd5<<0:694?:1y'1fb=?<80(9h6:97;?M0a3g==<7<>a:k65?6=3`>86=44i5d2>5<"0:3>3h6*;f88;1==O>o1e;;>520`8m=4=831b4>4?::m7=a<722wi>9851;694?6|,202B=j6`861815f=n=80;66g;3;29?l2a93:17b::9;29?xd5<>0:694?:1y'1fb=?<80(9h6:97;?M0a3g==<7<>d:k65?6=3`>86=44i5d2>5<:188m15=831b8k?50;9l00?=831vn?:6:087>5<7s-?hh79:2:&7b<>o3n80;66a;5883>>{e:<>1=7=50;2x 0ec2k;0(:<549f8 1`>21?37E8i;o554?4582c3>7>5;h:0>5<:188m15=831b8k?50;9l00?=831vn?:m:087>5<7s-?hh79:2:&7b<>o3n80;66a;5883>>{e:=81=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4=229j14<722c??7>5;h6e5?6=3f>>57>5;|`14a<62:0;6=u+5bf9f4=#?;0?4i5+4g;9<0><@?l0b:8?:307?l>52900e5=50;9l0=:087>5<7s-?hh79:2:&7b<>o3n80;66a;5883>>{e:9o1=7=50;2x 0ec2k;0(:<549f8 1`>21?37E8i;o554?45>2c3>7>5;h:0>5<:188m15=831b8k?50;9l00?=831vn?>i:080>5<7s-?hh7l>;%51>1>c3->m576:8:J5b>h0>909>55f8383>>o?;3:17b:6d;29?xd58<0:694?:1y'1fb=?<80(9h6:97;?M0a3g==<7<=9:k65?6=3`>86=44i5d2>5<"0:3>3h6*;f88;1==O>o1e;;>523c8m=4=831b4>4?::m7=a<722wi>=851;694?6|,202B=j6`861816g=n=80;66g;3;29?l2a93:17b::9;29?xd5980:6>4?:1y'1fb=j81/;?4;8e9'0c?=0<20D;h4n643>74d3`296=44i9194?=h<0n1<75rb324>4<3290;w);ld;566>"3n003955G6g9m336=:;n0e8?50;9j06<722c?j<4?::m71<<722wi>=651;694?6|,202B=j6`861816`=n=80;66g;3;29?l2a93:17b::9;29?xd5800:694?:1y'1fb=?<80(9h6:97;?M0a3g==<7<=f:k65?6=3`>86=44i5d2>5<:188m15=831b8k?50;9l00?=831vn??::080>5<7s-?hh7l>;%51>1>c3->m576:8:J5b>h0>909?<5f8383>>o?;3:17b:6d;29?xd58k0:694?:1y'1fb=?<80(9h6:97;?M0a3g==<7<<2:k65?6=3`>86=44i5d2>5<"0:3>3h6*;f88;1==O>o1e;;>52218m=4=831b4>4?::m7=a<722wi>=m51;694?6|,202B=j6`8618171=n=80;66g;3;29?l2a93:17b::9;29?xd58:0:694?:1y'1fb=?<80(9h6:97;?M0a3g==<7<<5:k65?6=3`>86=44i5d2>5<"0:3>3h6*;f88;1==O>o1e;;>52248m=4=831b4>4?::m7=a<722wi>8851;694?6|,202B=j6`8618172=n=80;66g;3;29?l2a93:17b::9;29?xd5>;0:6>4?:1y'1fb=j81/;?4;8e9'0c?=0<20D;h4n643>75?3`296=44i9194?=h<0n1<75rb37;>4<3290;w);ld;566>"3n003955G6g9m336=::30e8?50;9j06<722c?j<4?::m71<<722wi>;=51;194?6|,42900c97k:188yg4213;187>50z&6ga<0=;1/8k7584:8L3`<;6?=m;h72>5<4<4290;w);ld;`2?!152=2o7):i9;:6<>N1n2d<:=4=3b9j<7<722c3?7>5;n6:`?6=3th99l4>:583>5}#=jn1;8<4$5d:>=3?3Ao293:17d:<:188m1`62900c9;6:188yg41=3;1?7>50z&6ga7:7d:&7b<>i31m0;66sm24`95?2=83:p(8mk:671?!2a132>46F9f:l425<5;o1b9<4?::k77?6=3`>m=7>5;n66=?6=3th99n4>:583>5}#=jn1;8<4$5d:>=3?3Ao293:17d:<:188m1`62900c9;6:188yg42l3;187>50z&6ga<0=;1/8k7584:8L3`<;6?:>;h72>5<4<3290;w);ld;566>"3n003955G6g9m336=:=80e8?50;9j06<722c?j<4?::m71<<722wi>;751;194?6|,42900c97k:188yg42n3;187>50z&6ga<0=;1/8k7584:8L3`<;6?:;;h72>5<4<3290;w);ld;566>"3n003955G6g9m336=:=?0e8?50;9j06<722c?j<4?::m71<<722wi>8951;694?6|,202B=j6`8618103=n=80;66g;3;29?l2a93:17b::9;29?xd5:;0:6>4?:1y'1fb=j81/;?4;8e9'0c?=0<20D;h4n643>7203`296=44i9194?=h<0n1<75rb334>4<3290;w);ld;566>"3n003955G6g9m336=:=20e8?50;9j06<722c?j<4?::m71<<722wi>?=51;194?6|,42900c97k:188yg4613;187>50z&6ga<0=;1/8k7584:8L3`<;6?:n;h72>5<4<4290;w);ld;`2?!152=2o7):i9;:6<>N1n2d<:=4=4c9j<7<722c3?7>5;n6:`?6=3th9=l4>:583>5}#=jn1;8<4$5d:>=3?3Ao293:17d:<:188m1`62900c9;6:188yg45=3;1?7>50z&6ga7:7d:&7b<>i31m0;66sm20`95?2=83:p(8mk:671?!2a132>46F9f:l425<5m=7>5;n66=?6=3th9>;4>:283>5}#=jn1n<5+7387m7d6=:188m=5=831d84j50;9~f77d280?6=4?{%7``?12:2.?j447599K2c=i??:1>8>4i4394?=n<:0;66g;f083>>i3=00;66sm23595?5=83:p(8mk:c38 24=<1n0(9h6:97;?M0a3g==<7<:1:k;6?6=3`286=44o5;g>5<<@?l0b:8?:371?l362900e9=50;9j0c7=831d88750;9~f74?28086=4?{%7``?d63-=9696k;%6e=?>202B=j6`8618116=n0;0;66g73;29?j2>l3:17pl=1d82>1<729q/9nj57408 1`>21?37E8i;o554?42<2c>=7>5;h60>5<5<"3n003955G6g9m336=:1<7>t$4ag>2353->m576:8:J5b>h0>9099;5f5083>>o3;3:17d:i1;29?j2213:17pl=2`82>6<729q/9nj5b09'37<30m1/8k7584:8L3`<;6?;8;h:1>5<>6*;f88;1==O>o1e;;>524:8m07=831b8>4?::k7b4<722e?944?::a67d=9391<7>t$4ag>g7<,>8185j4$5d:>=3?3Ao?:3:17d6<:188k1?c2900qo<=1;390?6=8r.>oi48539'0c?=0<20D;h4n643>73f3`?:6=44i5194?=n>6*;f88;1==O>o1e;;>524`8m07=831b8>4?::k7b4<722e?944?::a620=9391<7>t$4ag>g7<,>8185j4$5d:>=3?3Ao?:3:17d6<:188k1?c2900qo<9b;390?6=8r.>oi48539'0c?=0<20D;h4n643>73c3`?:6=44i5194?=n46F9f:l425<5=l1b4?4?::k;7?6=3f>2h7>5;|`12a<62=0;6=u+5bf9304<,=l265;7;I4e?k11838>j6g:1;29?l242900e9h>:188k13>2900qo<88;397?6=8r.>oi4m1:&46?2?l2.?j447599K2c=i??:1>;>4i9094?=n0:0;66a;9e83>>{e:?o1=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4=609j14<722c??7>5;h6e5?6=3f>>57>5;|`13<<62:0;6=u+5bf9f4=#?;0?4i5+4g;9<0><@?l0b:8?:341?l>52900e5=50;9l05<7s-?hh79:2:&7b<>o3n80;66a;5883>>{e:>k1=7=50;2x 0ec2k;0(:<549f8 1`>21?37E8i;o554?41<2c3>7>5;h:0>5<7d;>:188m15=831b8k?50;9l00?=831vn?9m:080>5<7s-?hh7l>;%51>1>c3->m576:8:J5b>h0>909:;5f8383>>o?;3:17b:6d;29?xd5?80:694?:1y'1fb=?<80(9h6:97;?M0a3g==<7<97:k65?6=3`>86=44i5d2>5<"0:3>3h6*;f88;1==O>o1e;;>527:8m=4=831b4>4?::m7=a<722wi>:<51;694?6|,202B=j6`861812<=n=80;66g;3;29?l2a93:17b::9;29?xd5?m0:6>4?:1y'1fb=j81/;?4;8e9'0c?=0<20D;h4n643>70f3`296=44i9194?=h<0n1<75rb350>4<3290;w);ld;566>"3n003955G6g9m336=:?h0e8?50;9j06<722c?j<4?::m71<<722wi>:k51;194?6|,42900c97k:188yg40<3;187>50z&6ga<0=;1/8k7584:8L3`<;6?8k;h72>5<4<4290;w);ld;`2?!152=2o7):i9;:6<>N1n2d<:=4=6d9j<7<722c3?7>5;n6:`?6=3th9;84>:583>5}#=jn1;8<4$5d:>=3?3Ao293:17d:<:188m1`62900c9;6:188yg41k3;187>50z&6ga<0=;1/8k7584:8L3`<;6?9?;h72>5<4<4290;w);ld;`2?!152=2o7):i9;:6<>N1n2d<:=4=709j<7<722c3?7>5;n6:`?6=3th9>n4>:583>5}#=jn1;8<4$5d:>=3?3Ao293:17d:<:188m1`62900c9;6:188yg4403;1?7>50z&6ga7:7d:&7b<>i31m0;66sm23g95?2=83:p(8mk:671?!2a132>46F9f:l425<5?=1b9<4?::k77?6=3`>m=7>5;n66=?6=3th9?44>:283>5}#=jn1n<5+73877d6=:188m=5=831d84j50;9~f74a280?6=4?{%7``?12:2.?j447599K2c=i??:1>:84i4394?=n<:0;66g;f083>>i3=00;66sm22c95?5=83:p(8mk:c38 24=<1n0(9h6:97;?M0a3g==<7<87:k;6?6=3`286=44o5;g>5<<@?l0b:8?:35;?l362900e9=50;9j0c7=831d88750;9~f75e28086=4?{%7``?d63-=9696k;%6e=?>202B=j6`861813<=n0;0;66g73;29?j2>l3:17pl=3082>1<729q/9nj57408 1`>21?37E8i;o554?40i2c>=7>5;h60>5<5<"3n003955G6g9m336=:>h0e5<50;9j<6<722e?5i4?::a664=93>1<7>t$4ag>2353->m576:8:J5b>h0>909;n5f5083>>o3;3:17d:i1;29?j2213:17pl=3e82>6<729q/9nj5b09'37<30m1/8k7584:8L3`<;6?9k;h:1>5<>6*;f88;1==O>o1e;;>526g8m07=831b8>4?::k7b4<722e?944?::a66c=9391<7>t$4ag>g7<,>8185j4$5d:>=3?3Ao?:3:17d6<:188k1?c2900qo<<4;390?6=8r.>oi48539'0c?=0<20D;h4n643>7>73`?:6=44i5194?=n46F9f:l425<5081b4?4?::k;7?6=3f>2h7>5;|`170<62=0;6=u+5bf9304<,=l265;7;I4e?k118383>6g:1;29?l242900e9h>:188k13>2900qo<;0;397?6=8r.>oi4m1:&46?2?l2.?j447599K2c=i??:1>5=4i9094?=n0:0;66a;9e83>>{e::<1=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4=859j14<722c??7>5;h6e5?6=3f>>57>5;|`16a<62=0;6=u+5bf9304<,=l265;7;I4e?k11838396g:1;29?l242900e9h>:188k13>2900qo<7b;397?6=8r.>oi4m1:&46?2?l2.?j447599K2c=i??:1>584i9094?=n0:0;66a;9e83>>{e:1:1=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4=869j14<722c??7>5;h6e5?6=3f>>57>5;|`1<@?l0b:8?:3:;?l>52900e5=50;9l05<7s-?hh79:2:&7b<>o3n80;66a;5883>>{e:1n1=7=50;2x 0ec2k;0(:<549f8 1`>21?37E8i;o554?4?i2c3>7>5;h:0>5<:188m15=831b8k?50;9l00?=831vn?6j:080>5<7s-?hh7l>;%51>1>c3->m576:8:J5b>h0>9094n5f8383>>o?;3:17b:6d;29?xd50=0:694?:1y'1fb=?<80(9h6:97;?M0a3g==<7<7d:k65?6=3`>86=44i5d2>5<"0:3>3h6*;f88;1==O>o1e;;>529g8m=4=831b4>4?::m7=a<722wi>5;51;694?6|,202B=j6`861814?:1y'1fb=j81/;?4;8e9'0c?=0<20D;h4n643>7?73`296=44i9194?=h<0n1<75rb3:5>4<3290;w);ld;566>"3n003955G6g9m336=:0;0e8?50;9j06<722c?j<4?::m71<<722wi>4?51;194?6|,6g72;29?l>42900c97k:188yg4??3;187>50z&6ga<0=;1/8k7584:8L3`<;6?7<;h72>5<4<4290;w);ld;`2?!152=2o7):i9;:6<>N1n2d<:=4=959j<7<722c3?7>5;n6:`?6=3th9454>:583>5}#=jn1;8<4$5d:>=3?3Ao293:17d:<:188m1`62900c9;6:188yg4>;3;1?7>50z&6ga7:7d:&7b<>i31m0;66sm29;95?2=83:p(8mk:671?!2a132>46F9f:l425<51>1b9<4?::k77?6=3`>m=7>5;n66=?6=3th9594>:283>5}#=jn1n<5+7387f280?6=4?{%7``?12:2.?j447599K2c=i??:1>474i4394?=n<:0;66g;f083>>i3=00;66sm29395?2=83:p(8mk:671?!2a132>46F9f:l425<51h1b9<4?::k77?6=3`>m=7>5;n66=?6=3th:>l4>:583>5}#=jn1;8<4$5d:>=3?3Ao293:17d:<:18K<`=5<<@?l0b:8?:3;`?lge2900e9=50;J;a>=h<<31<75rb00a>4<3290;w);ld;566>"3n003955G6g9m336=:0n0e8?50;9j06<722c?j<4?::m71<<722wi=9?51;194?6|,202B=j6`86181=`=nik0;66g;3;29?j2213:17pl>2b82>1<729q/9nj57408 1`>21?37E8i;o554?4>n2c>=7>5;h60>5<5<7?53;294~"2km0<995+4g;9<0><@?l0b:8?:3c3?lge2900e9=50;9l00?=831vn<5<7s-?hh79:2:&7b<>o3n80;66a;5883>>{e9=91=7=50;2x 0ec2>??7):i9;:6<>N1n2d<:=4=a39jeg<722c??7>5;n66=?6=3th:>h4>:583>5}#=jn1;8<4$5d:>=3?3Ao293:17d:<:188m1`62900c9;6:188yg73<3;1?7>50z&6ga<0==1/8k7584:8L3`<;6?o;;hca>5<>6*;f88;1==O>o1e;;>52`78m07=831b8>4?::k7b4<722e?944?::a513=9391<7>t$4ag>2333->m576:8:J5b>h0>909m;5fac83>>o3;3:17b::9;29?xd6;90:694?:1y'1fb=?<80(9h6:97;?M0a3g==<786=44i5d2>5<l74i4394?=n<:0;66g;f083>>i3=00;66sm15595?5=83:p(8mk:677?!2a132>46F9f:l425<5ih1bmo4?::k77?6=3f>>57>5;|`277<62=0;6=u+5bf9304<,=l265;7;I4e?k11838jn6g:1;29?l242900e9h>:188k13>2900qo?;8;397?6=8r.>oi48559'0c?=0<20D;h4n643>7gd3`ki6=44i5194?=h<<31<75rb010>4<3290;w);ld;566>"3n003955G6g9m336=:hn0e8?50;9j06<722c?j<4?::m71<<722wi=9751;194?6|,202B=j6`86181e`=nik0;66g;3;29?j2213:17pl>4182>6<729q/9nj57468 1`>21?37E8i;o554?4fn2cjn7>5;h60>5<:188m15=83B3i65f4g394?=h<<31<75rb03e>4<4290;w);ld;560>"3n003955G6g9m336=:k;0ell50;9j06<72A2n76a;5883>>{e99h1=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4=b39j14<722c??7>5;h6e5?6=3f>>57>5;|`264<62:0;6=u+5bf9302<,=l265;7;I4e?k11838i?6gnb;29?l242900c9;6:188yg77k3;187>50z&6ga<0=;1/8k7584:8L3`<;6?l;;h72>5<4<4290;w);ld;560>"3n003955G6g9m336=:k?0ell50;9j06<722e?944?::a55b=93>1<7>t$4ag>2353->m576:8:J5b>h0>909n;5f5083>>o3;3:17d:i1;29?j2213:17pl>2282>6<729q/9nj57468 1`>21?37E8i;o554?4e?2cjn7>5;h60>5<:188m15=831b8k?50;9l00?=831vn<<;:080>5<7s-?hh79:4:&7b<>i3=00;66sm11d95?2=83:p(8mk:671?!2a132>46F9f:l425<5jh1b9<4?::k77?6=3`>m=7>5;n66=?6=3th:>84>:283>5}#=jn1;8:4$5d:>=3?3Aofj3:17d:<:188k13>2900qo?>0;390?6=8r.>oi48539'0c?=0<20D;h4n643>7dd3`?:6=44i5194?=n86*;f88;1==O>o1e;;>52cf8mdd=831b8>4?::m71<<722wi=202B=j6`86181f`=n=80;66g;3;29?l2a93:17b::9;29?xd6:>0:6>4?:1y'1fb=?<>0(9h6:97;?M0a3g==<786=44o57:>5<7?54;294~"2km0<9?5+4g;9<0><@?l0b:8?:3a3?l362900e9=50;9j0c7=831d88750;9~f44?28086=4?{%7``?12<2.?j447599K2c=i??:1>n?4i``94?=n<:0;66a;5883>>{e9891=7:50;2x 0ec2>?97):i9;:6<>N1n2d<:=4=c39j14<722c??7>5;h6e5?6=3f>>57>5;|`26<<62:0;6=u+5bf9302<,=l265;7;I4e?k11838h?6gnb;29?l242900c9;6:188yg7583;1?7>50z&6ga<0==1/8k7584:8L3`<;6?m;;hca>5<=6*82;;:?!2a132>46*7c;18L3`<;6?m:;h6e>5<>o3ij0;66g;2;29?j2fl3:17pl:3582>1<729q/9nj57408 1`>2=lm7E8i;o554?4d>2c>=7>5;h60>5<5<"3n00?jk5G6g9m336=:j=0e5<50;9j<6<722e?5i4?::a16c=93>1<7>t$4ag>2353->m57:if:J5b>h0>909o55f5083>>o3;3:17d:i1;29?j2213:17pl:4682>0<729q/9nj5b09'37<3191/8k754gd8L3`<;6?m6;h:1>5<>o?=3:17b:6d;29?xd2;m0:694?:1y'1fb=?<80(9h6:5de?M0a3g==<786=44i5d2>5<"0:3>2<6*;f887bc=O>o1e;;>52b`8m=4=831b4>4?::k;0?6=3`2>6=44o5;g>5<l3:17pl:3c82>1<729q/9nj57408 1`>2=lm7E8i;o554?4dm2c>=7>5;h60>5<5<"3n00?jk5G6g9m336=:jl0e5<50;9j<6<722e?5i4?::a16g=93>1<7>t$4ag>2353->m57:if:J5b>h0>909h=5f5083>>o3;3:17d:i1;29?j2213:17pl:4282>6<729q/9nj5b09'37<30m1/8k754gd8L3`<;6?j>;h:1>5<>6*;f887bc=O>o1e;;>52e08m07=831b8>4?::k7b4<722e?944?::a114=9391<7>t$4ag>g7<,>8184<4$5d:>1`a3Ao?:3:17d6<:188k1?c2900qo;<8;390?6=8r.>oi48539'0c?=7b33`?:6=44i5194?=n:6<4::183!3dl3h:7)9=:5;3?!2a13>mj6F9f:l425<5l<1b4?4?::k;7?6=3`2?6=44i9794?=h<0n1<75rb415>4<3290;w);ld;566>"3n00?jk5G6g9m336=:m<0e8?50;9j06<722c?j<4?::m71<<722wi9>;51;694?6|,4?:1y'1fb=j81/;?4;939'0c?=7b?3`296=44i9194?=h<0n1<75rb411>4<3290;w);ld;566>"3n00?jk5G6g9m336=:m30e8?50;9j06<722c?j<4?::m71<<722wi9?751;194?6|,6<729q/9nj57468 1`>2=lm7E8i;o554?4cj2cjn7>5;h60>5<:188m15=831b8k?50;9l00?=831vn8<8:080>5<7s-?hh79:4:&7b<<3no1C:k5a77296ab>i3=00;66sm51g95?2=83:p(8mk:671?!2a13>mj6F9f:l425<5ll1b9<4?::k77?6=3`>m=7>5;n66=?6=3th>>;4>:283>5}#=jn1;8:4$5d:>1`a3Aofj3:17d:<:188k13>2900qo;?d;390?6=8r.>oi48539'0c?=7c73`?:6=44i5194?=n6<4<:183!3dl3=>86*;f887bc=O>o1e;;>52d38mdd=831b8>4?::m71<<722wi9=m51;694?6|,4?:1y'1fb=?<>0(9h6:5de?M0a3g==<786=44o57:>5<h;4i``94?=n<:0;66a;5883>>{e=931=7:50;2x 0ec2>?97):i9;6eb>N1n2d<:=4=e79j14<722c??7>5;h6e5?6=3f>>57>5;|`664<62:0;6=u+5bf9302<,=l269hi;I4e?k11838n;6gnb;29?l242900c9;6:188yg3703;187>50z&6ga<0=;1/8k754gd8L3`<;6?k7;h72>5<4<4290;w);ld;560>"3n00?jk5G6g9m336=:l30ell50;9j06<722e?944?::a151=93>1<7>t$4ag>2353->m57:if:J5b>h0>909il5f5083>>o3;3:17d:i1;29?j2213:17pl:1g82>6<729q/9nj57468 1`>2=lm7E8i;o554?4bj2cjn7>5;h60>5<:188m15=831b8k?50;9l00?=831vn8?j:080>5<7s-?hh79:4:&7b<<3no1C:k5a77296`b>i3=00;66sm51795?2=83:p(8mk:671?!2a13>mj6F9f:l425<5ml1b9<4?::k77?6=3`>m=7>5;n66=?6=3th>=i4>:283>5}#=jn1;8:4$5d:>1`a3Aofj3:17d:<:188k13>2900qo;?4;390?6=8r.>oi48539'0c?=7`73`?:6=44i5194?=n86*;f887bc=O>o1e;;>52g38mdd=831b8>4?::m71<<722wi9==51;694?6|,4?:1y'1fb=?<>0(9h6:5de?M0a3g==<786=44o57:>5<7?54;294~"2km0<9?5+4g;90c`<@?l0b:8?:3d7?l362900e9=50;9j0c7=831d88750;9~f07f28086=4?{%7``?12<2.?j44;fg9K2c=i??:1>k;4i``94?=n<:0;66a;5883>>{e=9;1=7:50;2x 0ec2>?97):i9;6eb>N1n2d<:=4=f79j14<722c??7>5;h6e5?6=3f>>57>5;|`674<62:0;6=u+5bf9302<,=l269hi;I4e?k11838m;6gnb;29?l242900c9;6:188yg36?3;187>50z&6ga<0=;1/8k754gd8L3`<;6?h7;h72>5<4<4290;w);ld;560>"3n00?jk5G6g9m336=:o30ell50;9j06<722e?944?::a140=93>1<7>t$4ag>2353->m57:if:J5b>h0>909jl5f5083>>o3;3:17d:i1;29?j2213:17pl:2g82>6<729q/9nj57468 1`>2=lm7E8i;o554?4aj2cjn7>5;h60>5<:188m15=831b8k?50;9l00?=831vn85<7s-?hh79:4:&7b<<3no1C:k5a77296cb>i3=00;66sm50695?2=83:p(8mk:671?!2a13>mj6F9f:l425<5nl1b9<4?::k77?6=3`>m=7>5;n66=?6=3th>>i4>:283>5}#=jn1;8:4$5d:>1`a3Aofj3:17d:<:188k13>2900qo;>3;390?6=8r.>oi48539'0c?=6673`?:6=44i5194?=n86*;f887bc=O>o1e;;>53138mdd=831b8>4?::m71<<722wi9<<51;694?6|,4?:1y'1fb=?<>0(9h6:5de?M0a3g==<7=?3:kbf?6=3`>86=44o57:>5<>{e=8:1=7:50;2x 0ec2>?97):i9;6eb>N1n2d<:=4<079j14<722c??7>5;h6e5?6=3f>>57>5;|`661<62:0;6=u+5bf9302<,=l269hi;I4e?k11839;;6gnb;29?l242900c9;6:188yg37j3;187>50z&6ga<0=;1/8k754gd8L3`<;6>>7;h72>5<4<4290;w);ld;560>"3n00?jk5G6g9m336=;930ell50;9j06<722e?944?::a156=93>1<7>t$4ag>2353->m57:if:J5b>h0>908>o3;3:17d:i1;29?j2213:17pl:c482>3<729q/;?493:&7b<<3no1/9nj57428 1`b2=lo7)6l:29K2c=i??:1?=l4i5d94?N?m21b884?::k7e?6=@1o07d:nc;29?l252900c9ok:188yg30<3;1:7>50z&46?043->m57:if:&6ga<0=91/8kk54gf8 =e=;2B=j6`861804f=n5<<6*;fd87ba=#0j087E8i;o554?57l2c?j7>5;h66>5<81:>5+4g;90c`<,o3ij0;66g;2;29?j2fl3:17pl:7082>3<729q/;?493:&7b<<3no1/9nj57428 1`b2=lo7)6l:29K2c=i??:1?=h4i5d94?=n<<0;66g;a;29L=c<3`>jo7>5;h61>5<3:1mj6*:ce8415=#N1n2d<:=4<119j0c<722c?97>5;h6b>535<,=l269hi;%7``?1282.?jh4;fe9'o3n3:17d:::188m1g=83B3i65f4`a94?=n<;0;66a;ae83>>{e=?n1=7850;2x 24=>:1/8k754gd8 0ec2>?;7):ie;6e`>"?k390D;h4n643>6753`>m6=44i5794?=n7>5;n6b`?6=3th>:n4>:783>5}#?;0=?6*;f887bc=#=jn1;8>4$5df>1`c3-2h6>5G6g9m336=;890e9h50;9j00<722c?m7>5H9g8?l2fk3:17d:=:188k1gc2900qo;9b;392?6=8r.<>78<;%6e=?2an2.>oi48519'0cc=h0>908=95f4g83>>o3=3:17d:n:18K<`=4<1290;w)9=:718 1`>2=lm7);ld;564>"3nl0?ji5+8b80?M0a3g==<7=>5:k7b?6=3`>>6=44i5c94?N?m21b8lm50;9j07<722e?mi4?::a13?=93<1<7>t$60926=#2373->mi7:id:&;g?5<@?l0b:8?:235?l2a2900e9;50;9j0d<72A2n76g;ab83>>o3:3:17b:nd;29?xd2>10:6;4?:1y'37<1;2.?j44;fg9'1fb=?<:0(9hj:5dg?!>d2:1C:k5a7729741>o3i3:1D5k4;h6bg?6=3`>96=44o5cg>5<"2km0<9=5+4gg90cb<,1i1?6F9f:l425<4911b8k4?::k71?6=3`>j6=4G8d98m1gd2900e9<50;9l0db=831vn889:085>5<7s-=96;=4$5d:>1`a3-?hh79:0:&7b`<3nm1/4n4<;I4e?k11839:56g;f;29?l222900e9o50;J;a>=n>i3im0;66sm57795?0=83:p(:<5629'0c?=mh6*7c;18L3`<;6>?n;h6e>5<M>b32c?mn4?::k76?6=3f>jh7>5;|`63g<62?0;6=u+73857>"3n00?jk5+5bf9306<,=ln69hk;%:`>6=O>o1e;;>530`8m1`=831b884?::k7e?6=@1o07d:nc;29?l252900c9ok:188yg30i3;1:7>50z&46?043->m57:if:&6ga<0=91/8kk54gf8 =e=;2B=j6`861805f=n5<<6*;fd87ba=#0j087E8i;o554?56l2c?j7>5;h66>5<81:>5+4g;90c`<,o3ij0;66g;2;29?j2fl3:17pl:7682>3<729q/;?493:&7b<<3no1/9nj57428 1`b2=lo7)6l:29K2c=i??:1?jo7>5;h61>5<3:1mj6*:ce8415=#N1n2d<:=4<219j0c<722c?97>5;h6b>535<,=l269hi;%7``?1282.?jh4;fe9'o3n3:17d:::188m1g=83B3i65f4`a94?=n<;0;66a;ae83>>{e=?l1=7850;2x 24=>:1/8k754gd8 0ec2>?;7):ie;6e`>"?k390D;h4n643>6453`>m6=44i5794?=n7>5;n6b`?6=3th>:94>:783>5}#?;0=?6*;f887bc=#=jn1;8>4$5df>1`c3-2h6>5G6g9m336=;;90e9h50;9j00<722c?m7>5H9g8?l2fk3:17d:=:188k1gc2900qo;93;392?6=8r.<>78<;%6e=?2an2.>oi48519'0cc=h0>908>95f4g83>>o3=3:17d:n:18K<`=4<4290;w);ld;560>"3n00>h<5G6g9m336=;;?0ell50;9j06<722e?944?::a24d=9391<7>t$4ag>2333->m57;k1:J5b>h0>908>;5fac83>>o3;3:17b::9;29?xd18o0:694?:1y'1fb=?<80(9h6:4f2?M0a3g==<7==7:k65?6=3`>86=44i5d2>5<>i3=00;66sm60;95?5=83:p(8mk:677?!2a13?o=6F9f:l425<4:h1bmo4?::k77?6=3f>>57>5;|`54g<62=0;6=u+5bf9304<,=l268j>;I4e?k118399n6g:1;29?l242900e9h>:188k13>2900qo8>8;397?6=8r.>oi48559'0c?==m;0D;h4n643>64d3`ki6=44i5194?=h<<31<75rb72:>4<3290;w);ld;566>"3n00>h<5G6g9m336=;;n0e8?50;9j06<722c?j<4?::m71<<722wi:<951;194?6|,1<729q/9nj57408 1`>2=7>5;h60>5<5<::087>5<7s-?hh79:2:&7b<<2l81C:k5a7729767>o3n80;66a;5883>>{e>8?1=7=50;2x 0ec2>??7):i9;7g5>N1n2d<:=4<339jeg<722c??7>5;n66=?6=3th=<>4>:583>5}#=jn1;8<4$5d:>0b63Ao293:17d:<:188m1`62900c9;6:188yg06<3;1?7>50z&6ga<0==1/8k755e38L3`<;6>=;;hca>5<>6*;f886`4=O>o1e;;>53278m07=831b8>4?::k7b4<722e?944?::a245=9391<7>t$4ag>2333->m57;k1:J5b>h0>908?;5fac83>>o3;3:17b::9;29?xd1890:694?:1y'1fb=?<80(9h6:4f2?M0a3g==<7=<7:k65?6=3`>86=44i5d2>5<74i``94?=n<:0;66a;5883>>{e=o81=7:50;2x 0ec2>?97):i9;7g5>N1n2d<:=4<3`9j14<722c??7>5;h6e5?6=3f>>57>5;|`6ba<62:0;6=u+5bf9302<,=l268j>;I4e?k118398n6gnb;29?l242900c9;6:188yg3a83;187>50z&6ga<0=;1/8k755e38L3`<;6>=l;h72>5<4<4290;w);ld;560>"3n00>h<5G6g9m336=;:n0ell50;9j06<722e?944?::a1`c=93>1<7>t$4ag>2353->m57;k1:J5b>h0>908?h5f5083>>o3;3:17d:i1;29?j2213:17pl:fc82>6<729q/9nj57468 1`>25;h60>5<;7d;>:188m15=831b8k?50;9l00?=831vn8hn:080>5<7s-?hh79:4:&7b<<2l81C:k5a7729717>i3=00;66sm5dc95?2=83:p(8mk:671?!2a13?o=6F9f:l425<4<;1b9<4?::k77?6=3`>m=7>5;n66=?6=3th>j44>:283>5}#=jn1;8:4$5d:>0b63Aofj3:17d:<:188k13>2900qo;j8;390?6=8r.>oi48539'0c?==m;0D;h4n643>6233`?:6=44i5194?=n86*;f886`4=O>o1e;;>53578mdd=831b8>4?::m71<<722wi9h851;694?6|,0:6>4?:1y'1fb=?<>0(9h6:4f2?M0a3g==<7=;7:kbf?6=3`>86=44o57:>5<>{e=l91=7:50;2x 0ec2>?97):i9;7g5>N1n2d<:=4<4`9j14<722c??7>5;h6e5?6=3f>>57>5;|`512<62:0;6=u+5bf9302<,=l268j>;I4e?k11839?n6gnb;29?l242900c9;6:188yg02>3;1?7>50z&6ga<0==1/8k755e38L3`<;6>:l;hca>5<j6<4;:183!3dl3=>>6*;f886`4=O>o1e;;>535f8m07=831b8>4?::k7b4<722e?944?::a203=9391<7>t$4ag>2333->m57;k1:J5b>h0>9088h5fac83>>o3;3:17b::9;29?xd1<10:694?:1y'1fb=?<80(9h6:4f2?M0a3g==<7=;f:k65?6=3`>86=44i5d2>5<>i3=00;66sm64195?5=83:p(8mk:677?!2a13?o=6F9f:l425<4=;1bmo4?::k77?6=3f>>57>5;|`501<62=0;6=u+5bf9304<,=l268j>;I4e?k11839>?6g:1;29?l242900e9h>:188k13>2900qo8:2;397?6=8r.>oi48559'0c?==m;0D;h4n643>6333`ki6=44i5194?=h<<31<75rb761>4<3290;w);ld;566>"3n00>h<5G6g9m336=;1<729q/9nj57408 1`>2=7>5;h60>5<5<<7?53;294~"2km0<995+4g;91a7<@?l0b:8?:27;?lge2900e9=50;9l00?=831vn;=j:087>5<7s-?hh79:2:&7b<<2l81C:k5a772970?>o3n80;66a;5883>>{e>=l1=7=50;2x 0ec2>??7):i9;7g5>N1n2d<:=4<5`9jeg<722c??7>5;n66=?6=3th=?n4>:583>5}#=jn1;8<4$5d:>0b63Ao293:17d:<:188m1`62900c9;6:188yg03m3;1?7>50z&6ga<0==1/8k755e38L3`<;6>;l;hca>5<>6*;f886`4=O>o1e;;>534f8m07=831b8>4?::k7b4<722e?944?::a26?=9391<7>t$4ag>2333->m57;k1:J5b>h0>9089h5fac83>>o3;3:17b::9;29?xd1;10:6>4?:1y'1fb=?<>0(9h6:4f2?M0a3g==<7=:f:kbf?6=3`>86=44o57:>5<>{e>;k1=7:50;2x 0ec2>?97):i9;7g5>N1n2d<:=4<639j14<722c??7>5;h6e5?6=3f>>57>5;|`573<62:0;6=u+5bf9302<,=l268j>;I4e?k11839=?6gnb;29?l242900c9;6:188yg0503;187>50z&6ga<0=;1/8k755e38L3`<;6>8;;h72>5<4<4290;w);ld;560>"3n00>h<5G6g9m336=;??0ell50;9j06<722e?944?::a270=93>1<7>t$4ag>2353->m57;k1:J5b>h0>908:;5f5083>>o3;3:17d:i1;29?j2213:17pl93582>6<729q/9nj57468 1`>25;h60>5<:188m15=831b8k?50;9l00?=831vn;=<:080>5<7s-?hh79:4:&7b<<2l81C:k5a772973?>i3=00;66sm63095?2=83:p(8mk:671?!2a13?o=6F9f:l425<4>h1b9<4?::k77?6=3`>m=7>5;n66=?6=3th=??4>:283>5}#=jn1;8:4$5d:>0b63Aofj3:17d:<:188k13>2900qo8=0;390?6=8r.>oi48539'0c?==m;0D;h4n643>60d3`?:6=44i5194?=n86*;f886`4=O>o1e;;>537f8mdd=831b8>4?::m71<<722wi:4?:1y'1fb=?<>0(9h6:4f2?M0a3g==<7=9f:kbf?6=3`>86=44o57:>5<28086=4?{%7``?12<2.?j44:d09K2c=i??:1?:?4i``94?=n<:0;66a;5883>>{e>021=7=50;2x 0ec2>??7):i9;7g5>N1n2d<:=4<739jeg<722c??7>5;n66=?6=3th=4?4>:583>5}#=jn1;8<4$5d:>0b63Ao293:17d:<:188m1`62900c9;6:188yg0>?3;1?7>50z&6ga<0==1/8k755e38L3`<;6>9;;hca>5<>6*;f886`4=O>o1e;;>53678m07=831b8>4?::k7b4<722e?944?::a2<0=9391<7>t$4ag>2333->m57;k1:J5b>h0>908;;5fac83>>o3;3:17b::9;29?xd1090:694?:1y'1fb=?<80(9h6:4f2?M0a3g==<7=87:k65?6=3`>86=44i5d2>5<>i3=00;66sm68695?5=83:p(8mk:677?!2a13?o=6F9f:l425<4?h1bmo4?::k77?6=3f>>57>5;|`53a<62=0;6=u+5bf9304<,=l268j>;I4e?k11839:188k13>2900qo863;397?6=8r.>oi48559'0c?==m;0D;h4n643>61d3`ki6=44i5194?=h<<31<75rb75`>4<3290;w);ld;566>"3n00>h<5G6g9m336=;>n0e8?50;9j06<722c?j<4?::m71<<722wi:4<51;194?6|,1<729q/9nj57408 1`>2=7>5;h60>5<5<5<7s-?hh79:2:&7b<<2l81C:k5a77297=7>o3n80;66a;5883>>{e>>21=7=50;2x 0ec2>??7):i9;7g5>N1n2d<:=4<839jeg<722c??7>5;n66=?6=3th=;:4>:283>5}#=jn1;8:4$5d:>0b63Aofj3:17d:<:188k13>2900qo893;390?6=8r.>oi48539'0c?==m;0D;h4n643>6>33`?:6=44i5194?=n86*;f886`4=O>o1e;;>53978mdd=831b8>4?::m71<<722wi:;?51;694?6|,4?:1y'1fb=?<>0(9h6:4f2?M0a3g==<7=77:kbf?6=3`>86=44o57:>5<>{e>?97):i9;7g5>N1n2d<:=4<8`9j14<722c??7>5;h6e5?6=3f>>57>5;|`536<62:0;6=u+5bf9302<,=l268j>;I4e?k118393n6gnb;29?l242900c9;6:188yg02l3;187>50z&6ga<0=;1/8k755e38L3`<;6>6l;h72>5<4<4290;w);ld;560>"3n00>h<5G6g9m336=;1n0ell50;9j06<722e?944?::a20e=93>1<7>t$4ag>2353->m57;k1:J5b>h0>9084h5f5083>>o3;3:17d:i1;29?j2213:17pl97082>6<729q/9nj57468 1`>25;h60>5<:188m15=831b8k?50;9l00?=831vn;9?:080>5<7s-?hh79:4:&7b<<2l81C:k5a77297<7>i3=00;66sm64;95?2=83:p(8mk:671?!2a13?o=6F9f:l425<41;1b9<4?::k77?6=3`>m=7>5;n66=?6=3th<>n4>:783>5}#?;0=?6*;f886`4=#=jn1;8>4$5df>1`c3-2h6>5G6g9m336=;090e9h50;9j00<722c?m7>5;h6bg?6=3`>96=44o5cg>5<"2km0<9=5+4gg90cb<,1i1?6F9f:l425<41=1b8k4?::k71?6=3`>j6=44i5c`>5<8j6<49:183!152?90(9h6:4f2?!3dl3=><6*;fd87ba=#0j087E8i;o554?5>=2c?j7>5;h66>5<>{e?;31=7850;2x 24=>:1/8k755e38 0ec2>?;7):ie;6e`>"?k390D;h4n643>6?13`>m6=44i5794?=n>o3:3:17b:nd;29?xd0:10:6;4?:1y'37<1;2.?j44:d09'1fb=?<:0(9hj:5dg?!>d2:1C:k5a77297<1>o3i3:17d:nc;29?l252900c9ok:188yg15?3;1:7>50z&46?043->m57;k1:&6ga<0=91/8kk54gf8 =e=;2B=j6`86180===n35<,=l268j>;%7``?1282.?jh4;fe9'o3n3:17d:::188m1g=831b8lm50;9j07<722e?mi4?::a373=93<1<7>t$60926=#2373->mi7:id:&;g?5<@?l0b:8?:2;b?l2a2900e9;50;9j0d<722c?mn4?::k76?6=3f>jh7>5;|`461<62?0;6=u+73857>"3n00>h<5+5bf9306<,=ln69hk;%:`>6=O>o1e;;>538`8m1`=831b884?::k7e?6=3`>jo7>5;h61>5<3:1N1n2d<:=4<9b9j0c<722c?97>5;h6b>5<4<1290;w)9=:718 1`>2"3nl0?ji5+8b80?M0a3g==<7=6d:k7b?6=3`>>6=44i5c94?=n>i3im0;66sm72d95?0=83:p(:<5629'0c?==m;0(8mk:673?!2am3>mh6*7c;18L3`<;6>7j;h6e>5<>o3ij0;66g;2;29?j2fl3:17pl83d82>3<729q/;?493:&7b<<2l81/9nj57428 1`b2=lo7)6l:29K2c=i??:1?4h4i5d94?=n<<0;66g;a;29?l2fk3:17d:=:188k1gc2900qo978<;%6e=?3c92.>oi48519'0cc=h0>908m=5f4g83>>o3=3:17d:n:188m1gd2900e9<50;9l0db=831vn:=l:085>5<7s-=96;=4$5d:>0b63-?hh79:0:&7b`<3nm1/4n4<;I4e?k11839j=6g;f;29?l222900e9o50;9j0de=831b8?4?::m7ea<722wi;>l51;494?6|,>81:>5+4g;91a7<,7>5;n6b`?6=3th:783>5}#?;0=?6*;f886`4=#=jn1;8>4$5df>1`c3-2h6>5G6g9m336=;h90e9h50;9j00<722c?m7>5;h6bg?6=3`>96=44o5cg>5<"2km0<9=5+4gg90cb<,1i1?6F9f:l425<4i=1b8k4?::k71?6=3`>j6=44i5c`>5<936<49:183!152?90(9h6:4f2?!3dl3=><6*;fd87ba=#0j087E8i;o554?5f=2c?j7>5;h66>5<>{e?:=1=7850;2x 24=>:1/8k755e38 0ec2>?;7):ie;6e`>"?k390D;h4n643>6g13`>m6=44i5794?=n>o3:3:17b:nd;29?xd08<0:6;4?:1y'37<2n2.?j44:d09'1fb=?<:0(9hj:2:8 =e=;2B=j6`86180e2=n0`<,=l268j>;%7``?1282.?jh4<8:&;g?5<@?l0b:8?:2c;?l2a2900e9;50;9j0d<722c?mn4?::k76?6=3f>jh7>5;|`446<62?0;6=u+7386b>"3n00>h<5+5bf9306<,=ln6>64$9a97>N1n2d<:=45;h6b>5<4<1290;w)9=:4d8 1`>2"3nl0846*7c;18L3`<;6>on;h6e>5<>o3ij0;66g;2;29?j2fl3:17pl80082>3<729q/;?4:f:&7b<<2l81/9nj57428 1`b2:20(5m53:J5b>h0>908mo5f4g83>>o3=3:17d:n:188m1gd2900e9<50;9l0db=831vn:>?:085>5<7s-=968h4$5d:>0b63-?hh79:0:&7b`<402.3o7=4H7d8j2072:kh7d:i:188m13=831b8l4?::k7ef<722c?>7>5;n6b`?6=3th=jk4>:783>5}#?;0>j6*;f886`4=#=jn1;8>4$5df>6><,1i1?6F9f:l425<4im1b8k4?::k71?6=3`>j6=44i5c`>5<<6*;fd80<>"?k390D;h4n643>6gb3`>m6=44i5794?=n>o3:3:17b:nd;29?xd1nm0:6;4?:1y'37<2n2.?j44:d09'1fb=?<:0(9hj:2:8 =e=;2B=j6`86180ec=n0`<,=l268j>;%7``?1282.?jh4<8:&;g?5<@?l0b:8?:2`3?l2a2900e9;50;9j0d<722c?mn4?::k76?6=3f>jh7>5;|`5g`<62?0;6=u+7386b>"3n00>h<5+5bf9306<,=ln6>64$9a97>N1n2d<:=45;h6b>5<4<1290;w)9=:4d8 1`>2"3nl0846*7c;18L3`<;6>l=;h6e>5<>o3ij0;66g;2;29?j2fl3:17pl9cb82>3<729q/;?4:f:&7b<<2l81/9nj57428 1`b2:20(5m53:J5b>h0>908n>5f4g83>>o3=3:17d:n:188m1gd2900e9<50;9l0db=831vn;mm:085>5<7s-=968h4$5d:>0b63-?hh79:0:&7b`<402.3o7=4H7d8j2072:h?7d:i:188m13=831b8l4?::k7ef<722c?>7>5;n6b`?6=3th=ol4>:783>5}#?;0>j6*;f886`4=#=jn1;8>4$5df>6><,1i1?6F9f:l425<4j<1b8k4?::k71?6=3`>j6=44i5c`>5<<6*;fd80<>"?k390D;h4n643>6d13`>m6=44i5794?=n>o3:3:17b:nd;29?xd1k10:6;4?:1y'37<2n2.?j44:d09'1fb=?<:0(9hj:2:8 =e=;2B=j6`86180f2=n0`<,=l268j>;%7``?1282.?jh4<8:&;g?5<@?l0b:8?:2`;?l2a2900e9;50;9j0d<722c?mn4?::k76?6=3f>jh7>5;|`5g3<62?0;6=u+7386b>"3n00>h<5+5bf9306<,=ln6>64$9a97>N1n2d<:=45;h6b>5<4<1290;w)9=:4d8 1`>2"3nl0846*7c;18L3`<;6>ln;h6e>5<>o3ij0;66g;2;29?j2fl3:17pl9b582>3<729q/;?493:&7b<<2l81/9nj57428 1`b2=lo7)6l:29K2c=i??:1?ol4i5d94?=n<<0;66g;a;29?l2fk3:17d:=:188k1gc2900qo86f;392?6=8r.<>78<;%6e=?3c92.>oi48519'0cc=h0>908nn5f4g83>>o3=3:17d:n:188m1gd2900e9<50;9l0db=831vn8j=:085>5<7s-=96;=4$5d:>0b63-?hh79:0:&7b`<3nm1/4n4<;I4e?k11839ih6g;f;29?l222900e9o50;9j0de=831b8?4?::m7ea<722wi9io51;494?6|,>81:>5+4g;91a7<,7>5;n6b`?6=3th=n54>:783>5}#?;0=?6*;f886`4=#=jn1;8>4$5df>1`c3-2h6>5G6g9m336=;kl0e9h50;9j00<722c?m7>5;h6bg?6=3`>96=44o5cg>5<"3n003955G6g9m336=;j:0e5<50;9j<6<722c387>5;n6:`?6=3th3=l4>:483>5}#=jn1n<5+73873g=#2h7>5;|`;65<62<0;6=u+5bf9f4=#?;0?4h5+4g;9<0><@?l0b:8?:2a1?l>52900e5=50;9j<1<722c397>5;n6:`?6=3th3><4>:283>5}#=jn1n<5+73873f=#6=4?{%7``?d63-=9699<;%6e=?>202B=j6`86180g1=n0;0;66g73;29?l>32900e5;50;9l05<7s-?hh7l>;%51>1>63->m576:8:J5b>h0>908o85f8383>>o?;3:17d6;:188m=3=831d84j50;9~f0b0280>6=4?{%7``?d63-=9696;;%6e=?3c92B=j6`86180g3=n0;0;66g73;29?l>32900e5;50;9l05<7s-?hh7l>;%51>1123->m57;k1:J5b>h0>908o:5f8383>>o?;3:17d6;:188k1?c2900qo;kd;390?6=8r.>oi4m1:&46?2?>2.?j44:d09K2c=i??:1?n64i9094?=n0:0;66g74;29?j2>l3:17pl:db82>0<729q/9nj5b09'37<3191/8k755e38L3`<;6>m6;h:1>5<>o?=3:17b:6d;29?xd2j=0:6>4?:1y'1fb=j81/;?4;7e9'0c?=6ef3`296=44i9194?=h<0n1<75rb4`1>4<4290;w);ld;`2?!152==o7):i9;6eb>N1n2d<:=45;n6:`?6=3th>n=4>:283>5}#=jn1n<5+73873a=#32900c97k:188yg3fm3;1?7>50z&6ga7:8d:&7b<<3no1C:k5a77297fc>i31m0;66sm5`a95?5=83:p(8mk:c38 24=<>n0(9h6:5de?M0a3g==<7=lf:k;6?6=3`286=44o5;g>5<"3n00?jk5G6g9m336=;m:0e5<50;9j<6<722e?5i4?::a1d0=9391<7>t$4ag>g7<,>818:j4$5d:>1`a3Ao?:3:17d6<:188k1?c2900qo;n4;397?6=8r.>oi4m1:&46?20l2.?j44;fg9K2c=i??:1?i<4i9094?=n0:0;66a;9e83>>{e=h81=7=50;2x 0ec2k;0(:<546f8 1`>2=lm7E8i;o554?5c;2c3>7>5;h:0>5<"0:3>o1e;;>53e68m=4=831b4>4?::m7=a<722wi94k51;194?6|,42900c97k:188yg0bi3;187>50z&6ga7:75:&7b<<2l81C:k5a77297a0>o?<3:17b:6d;29?xd1m10:684?:1y'1fb=j81/;?4;919'0c?==m;0D;h4n643>6b03`296=44i9194?=n0=0;66g75;29?j2>l3:17pl:9b82>6<729q/9nj5b09'37<3?m1/8k754gd8L3`<;6>j7;h:1>5<mj6F9f:l425<4l01b4?4?::k;7?6=3f>2h7>5;|`6==<62:0;6=u+5bf9f4=#?;0?;i5+4g;90c`<@?l0b:8?:2fb?l>52900e5=50;9l05<7s-?hh7l>;%51>11c3->m57:if:J5b>h0>908ho5f8383>>o?;3:17b:6d;29?xd2k=0:6>4?:1y'1fb=j81/;?4;7e9'0c?=6bd3`296=44i9194?=h<0n1<75rb4a1>4<4290;w);ld;`2?!152==o7):i9;6eb>N1n2d<:=45;n6:`?6=3th>o=4>:283>5}#=jn1n<5+73873a=#l3:17pl:bb82>6<729q/9nj5b09'37<3?m1/8k754gd8L3`<;6>k?;h:1>5<mj6F9f:l425<4m81b4?4?::k;7?6=3f>2h7>5;|`6f=<62:0;6=u+5bf9f4=#?;0?;i5+4g;90c`<@?l0b:8?:2g1?l>52900e5=50;9l05<7s-?hh7l>;%51>11c3->m57:if:J5b>h0>908i>5f8383>>o?;3:17b:6d;29?xd2ih0:6>4?:1y'1fb=j81/;?4;7e9'0c?=6c33`296=44i9194?=h<0n1<75rb7g0>4<2290;w);ld;`2?!152=287):i9;7g5>N1n2d<:=45;h:7>5<4<2290;w);ld;`2?!152==n7):i9;7g5>N1n2d<:=45;h:7>5<4<2290;w);ld;`2?!152=287):i9;7g5>N1n2d<:=45;h:7>5<5<"3n00>h<5G6g9m336=;lh0e5<50;9j<6<722c387>5;h:6>5<"0:3>396*;f88;1==O>o1e;;>53da8m=4=831b4>4?::k;0?6=@:o0:684?:1y'1fb=j81/;?4;829'0c?=0<20D;h4n643>6cc3`296=44i9194?=n0=0;66g75;29L0d<3f>2h7>5;|`:3c<62=0;6=u+5bf9f4=#?;0?9h5+4g;9<0><@?l0b:8?:2gf?l>52900e5=50;9j<1<722e?5i4?::a<1e=93>1<7>t$4ag>g7<,>8188j4$5d:>=3?3Ao?:3:17d6<:188m=2=831d84j50;9~f216280>6=4?{%7``?d63-=96966;%6e=?>202B=j6`86180b5=n0;0;66g73;29?l>32900e5;50;J6f>=h<0n1<75rb64e>4<2290;w);ld;`2?!152=227):i9;:6<>N1n2d<:=45;h:7>5<46F9f:l425<4n;1b4?4?::k;7?6=3`2?6=4G5c98m=3=831d84j50;9~f<54280>6=4?{%7``?d63-=9698;;%6e=?>202B=j6`86180b6=n0;0;66g73;29?l>3290C9o54i9794?=h<0n1<75rb077>4<2290;w);ld;`2?!152=<97):i9;:6<>N1n2d<:=45;h:7>5<46F9f:l425<4n<1b4?4?::k;7?6=3`2?6=44o5;g>5<"3n003955G6g9m336=;o<0e5<50;9j<6<722e?5i4?::a710=93?1<7>t$4ag>g7<,>818;l4$5d:>=3?3Ao?:3:17d6<:188m=2=831b484?::m7=a<722wi;:l51;794?6|,42900e5:50;9j<0<72A?i76a;9e83>>{e?>i1=7:50;2x 0ec2k;0(:<54838 1`>21?37E8i;o554?5a12c3>7>5;h:0>5<1<75`48f94?=zj>=o6<4::183!3dl3h:7)9=:55e?!2a132>46F9f:l425<4nh1b4?4?::k;7?6=3`2?6=44i9794?=h<0n1<75rb073>4<2290;w);ld;`2?!152=<97):i9;:6<>N1n2d<:=45;h:7>5<n6<4;:183!3dl3h:7)9=:540?!2a132>46F9f:l425<4nj1b4?4?::k;7?6=3`2?6=44o5;g>5<"3n003955G6g9m336=;on0e5<50;9j<6<722e?5i4?::a3cg=9391<7>t$4ag>g7<,>8185j4$5d:>=3?3Ao?:3:17d6<:188k1?c2900qo9jb;391?6=8r.>oi4m1:&46?2>>2.?j447599K2c=i??:1?kh4i9094?=n0:0;66g74;29?l>22900c97k:188yg53=3;187>50z&6ga7:61:&7b<>o?<3:17b:6d;29?xd0m00:6>4?:1y'1fb=j81/;?4;8e9'0c?=0<20D;h4n643>1663`296=44i9194?=h<0n1<75rb6fb>4<2290;w);ld;`2?!152=3=7):i9;:6<>N1n2d<:=4;039j<7<722c3?7>5;h:7>5<36<4<:183!3dl3h:7)9=:5:4?!2a132>46F9f:l425<38:1b4?4?::k;7?6=3f>2h7>5;|`002<62<0;6=u+5bf9f4=#?;0?:o5+4g;9<0><@?l0b:8?:527?l>52900e5=50;9j<1<722c397>5;n6:`?6=3th88?4>:483>5}#=jn1n<5+73872g=#7d6=:188m=5=831b494?::k;1?6=3f>2h7>5;|`:==<62:0;6=u+5bf9f4=#?;0?;n5+4g;9<0><@?l0b:8?:525?l>5290C9o54i9194?=h<0n1<75rb8:e>4<4290;w);ld;`2?!152==o7):i9;:6<>N1n2d<:=4;069j<7<722c3?7>5;n6:`?6=3th25=4>:483>5}#=jn1n<5+738732=#16>3`296=44i9194?=n0=0;66a;9e83>>{e;=>1=7=50;2x 0ec2k;0(:<54958 1`>21?37E8i;o554?27i2c3>7>5;h:0>5<"0:3>=n6*;f88;1==O>o1e;;>541`8m=4=831b4>4?::k;0?6=3`2>6=44o5;g>5<2m6*;f88;1==#1;0?5i5G6g9m336=<9i0e5?50;9l00?=831vn::7:081>5<7s-?hh7:6a:&7b<4<5290;w);ld;6:e>"3n003955+9387=a=O>o1e;;>541g8m=7=831d88750;9~f1e528096=4?{%7``?2>i2.?j447599'=7<31m1C:k5a772905`2m6*;f88;1==#1;0?5i5G6g9m336=<8:0e5?50;9l00?=831vn9m?:081>5<7s-?hh7:6a:&7b<4<5290;w);ld;6:e>"3n003955+9387=a=O>o1e;;>54008m=7=831d88750;9~f1db28096=4?{%7``?2>i2.?j447599'=7<31m1C:k5a77290452m6*;f88;1==#1;0?5i5G6g9m336=<8>0e5?50;9l00?=831vn9ll:081>5<7s-?hh7:6a:&7b<4<5290;w);ld;6:e>"3n003955+9387=a=O>o1e;;>54048m=7=831d88750;9~fdg=9381<7>t$4ag>1?f3->m576:8:&:6?2>l2B=j6`8618752=n080;66a;5883>>{ei00:6?4?:1y'1fb=<0k0(9h6:97;?!?52=3o7E8i;o554?2602c3=7>5;n66=?6=3thj47?52;294~"2km0?5l5+4g;9<0><,08184j4H7d8j2072=;27d6>:188k13>2900qoo8:081>5<7s-?hh7:6a:&7b<46*62;6:`>N1n2d<:=4;1c9j<4<722e?944?::ae0<62;0;6=u+5bf901?c3Ao?93:17b::9;29?xdf<3;1>7>50z&6ga<31h1/8k7584:8 <4=<0n0D;h4n643>17c3`2:6=44o57:>5<2m6*;f88;1==#1;0?5i5G6g9m336=<8o0e5?50;9l00?=831vn:h9:080>5<7s-?hh7l>;%51>1>d3->m576:8:J5b>h0>90?=k5f8383>>o?;3:17b:6d;29?xd6=:0:694?:1y'1fb=j81/;?4;629'0c?=0<20D;h4n643>1473`296=44i9194?=n0=0;66a;9e83>>{e921?37E8i;o554?2592c3>7>5;h:0>5<1<75f8483>>i31m0;66sm7g`95?5=83:p(8mk:c38 24=<1n0(9h6:97;?M0a3g==<7:=2:k;6?6=3`286=44o5;g>5<"3n003955G6g9m336=<;90e5<50;9j<6<722e?5i4?::a51`=93>1<7>t$4ag>g7<,>818;=4$5d:>=3?3Ao?:3:17d6<:188m=2=831d84j50;9~f436280>6=4?{%7``?d63-=9698=;%6e=?>202B=j6`8618760=n0;0;66g73;29?l>32900e5;50;9l05<7s-?hh7l>;%51>1>c3->m576:8:J5b>h0>90?>;5f8383>>o?;3:17b:6d;29?xd0mm0:694?:1y'1fb=j81/;?4;649'0c?=0<20D;h4n643>1403`296=44i9194?=n0=0;66a;9e83>>{e?mi1=7:50;2x 0ec2k;0(:<54778 1`>21?37E8i;o554?2502c3>7>5;h:0>5<1<75`48f94?=zj:926<4<:183!3dl3h:7)9=:5:4?!2a132>46F9f:l425<3:01b4?4?::k;7?6=3f>2h7>5;|`07g<62:0;6=u+5bf9f4=#?;0?4:5+4g;9<0><@?l0b:8?:50b?l>52900e5=50;9l0=k:080>5<7s-?hh7l>;%51>1>03->m576:8:J5b>h0>90?>o5f8383>>o?;3:17b:6d;29?xd4;o0:6>4?:1y'1fb=j81/;?4;869'0c?=0<20D;h4n643>14d3`296=44i9194?=h<0n1<75rb2g5>4<2290;w);ld;`2?!152=<=7):i9;:6<>N1n2d<:=4;2e9j<7<722c3?7>5;h:7>5<46F9f:l425<3:l1b4?4?::k;7?6=3`2?6=44i9794?=h<0n1<75rb2a4>4<2290;w);ld;`2?!152=<=7):i9;:6<>N1n2d<:=4;2g9j<7<722c3?7>5;h:7>5<46F9f:l425<3;91b4?4?::k;7?6=3`2?6=4G5c98m=3=831d84j50;9~f<>c28086=4?{%7``?d63-=96977;%6e=?>202B=j6`8618774=n0;0;66g73;29?j2>l3:17pl0<729q/9nj5b09'37<3>?1/8k7584:8L3`<;69==;h:1>5<>o?=3:17b:6d;29?xd4m=0:694?:1y'1fb=j81/;?4;689'0c?=0<20D;h4n643>1543`296=44i9194?=n0=0;66a;9e83>>{e;l91=7=50;2x 0ec2k;0(:<549a8 1`>21?37E8i;o554?24<2c3>7>5;h:0>5<"0:3><46*;f88;1==O>o1e;;>54278m=4=831b4>4?::k;0?6=3`2>6=44o5;g>5<"3n003955G6g9m336=<:<0e5<50;9j<6<722c387>5;h:6>5<"0:3><:6*;f88;1==O>o1e;;>54258m=4=831b4>4?::k;0?6=3f>2h7>5;|`:5d<62=0;6=u+5bf9f4=#?;0?;;5+4g;9<0><@?l0b:8?:51;?l>52900e5=50;9j<1<722e?5i4?::a=4>=93>1<7>t$4ag>g7<,>818:84$5d:>=3?3Ao?:3:17d6<:188m=2=831d84j50;9~f<71280?6=4?{%7``?d63-=96999;%6e=?>202B=j6`861877d=n0;0;66g73;29?l>32900c97k:188yg5d>3;197>50z&6ga7:96:&7b<>o?<3:17d6::188k1?c2900qo=l5;390?6=8r.>oi4m1:&46?2112.?j447599K2c=i??:18>m4i9094?=n0:0;66g74;29?j2>l3:17pl6<729q/9nj5b09'37<30j1/8k7584:8L3`<;69=k;h:1>5<46F9f:l425<3;l1b4?4?::k;7?6=3`2?6=44o5;g>5<7?54;294~"2km0i=6*82;642>"3n003955G6g9m336=<:l0e5<50;9j<6<722c387>5;n6:`?6=3th2==4>:583>5}#=jn1n<5+73873<=#;7d6=:188m=5=831b494?::m7=a<722wi5=k51;694?6|,?=6g72;29?l>42900e5:50;9l05<7s-?hh7l>;%51>1?73->m576:8:J5b>h0>90?8?5f8383>>o?;3:17d6;:188m=3=831d84j50;9~f4b?280>6=4?{%7``?d63-=9696<;%6e=?>202B=j6`8618706=n0;0;66g73;29?l>32900e5;50;9l0=8:086>5<7s-?hh7l>;%51>1>43->m576:8:J5b>h0>90?895f8383>>o?;3:17d6;:188m=3=831d84j50;9~f=`0280>6=4?{%7``?d63-=9697?;%6e=?>202B=j6`8618700=n0;0;66g73;29?l>32900e5;50;9l05<7s-?hh7l>;%51>1?73->m576:8:J5b>h0>90?8;5f8383>>o?;3:17d6;:188m=3=831d84j50;9~f=`f280>6=4?{%7``?d63-=9697?;%6e=?>202B=j6`8618702=n0;0;66g73;29?l>32900e5;50;9l05<7s-?hh7l>;%51>1?73->m576:8:J5b>h0>90?855f8383>>o?;3:17d6;:188m=3=831d84j50;9~f=`b280>6=4?{%7``?d63-=9697?;%6e=?>202B=j6`861870<=n0;0;66g73;29?l>32900e5;50;9l0?:086>5<7s-?hh7l>;%51>1?73->m576:8:J5b>h0>90?8l5f8383>>o?;3:17d6;:188m=3=831d84j50;9~f<65280>6=4?{%7``?d63-=9697?;%6e=?>202B=j6`861870g=n0;0;66g73;29?l>32900e5;50;9l0;:086>5<7s-?hh7l>;%51>1?73->m576:8:J5b>h0>90?8n5f8383>>o?;3:17d6;:188m=3=831d84j50;9~f65?280>6=4?{%7``?d63-=9696<;%6e=?>202B=j6`861870a=n0;0;66g73;29?l>32900e5;50;9l0=n:086>5<7s-?hh7l>;%51>1>43->m576:8:J5b>h0>90?8h5f8383>>o?;3:17d6;:188m=3=831d84j50;9~f65d280>6=4?{%7``?d63-=9696<;%6e=?>202B=j6`861870c=n0;0;66g73;29?l>32900e5;50;9l0=j:086>5<7s-?hh7l>;%51>1>43->m576:8:J5b>h0>90?9=5f8383>>o?;3:17d6;:188m=3=831d84j50;9~f=66280?6=4?{%7``?d63-=9699?;%6e=?>202B=j6`8618714=n0;0;66g73;29?l>32900c97k:188yg?>k3;197>50z&6ga7:70:&7b<>o?<3:1D8l4;h:6>5<"0:3><56*;f88;1==O>o1e;;>54418m=4=831b4>4?::k;0?6=3f>2h7>5;|`:6`<62=0;6=u+5bf9f4=#?;0?;45+4g;9<0><@?l0b:8?:577?l>52900e5=50;9j<1<722e?5i4?::a=7e=93>1<7>t$4ag>g7<,>818:74$5d:>=3?3Ao?:3:17d6<:188m=2=831d84j50;9~f<4f280?6=4?{%7``?d63-=96996;%6e=?>202B=j6`8618713=n0;0;66g73;29?l>32900c97k:188yg?503;187>50z&6ga7:89:&7b<>o?<3:17b:6d;29?xd>:?0:694?:1y'1fb=j81/;?4;789'0c?=0<20D;h4n643>13?3`296=44i9194?=n0=0;66a;9e83>>{e1;>1=7:50;2x 0ec2k;0(:<546;8 1`>21?37E8i;o554?2212c3>7>5;h:0>5<1<75`48f94?=zj0896<4;:183!3dl3h:7)9=:55:?!2a132>46F9f:l425<3=h1b4?4?::k;7?6=3`2?6=44o5;g>5<"3n003955G6g9m336=<5;n6:`?6=3th25h4>:483>5}#=jn1n<5+73871f=#1m0:684?:1y'1fb=j81/;?4;5`9'0c?=0<20D;h4n643>13c3`296=44i9194?=n0=0;66g75;29?j2>l3:17pl7cb82>0<729q/9nj5b09'37<3?o1/8k7584:8L3`<;69;j;h:1>55;n6:`?6=3th3h:4>:483>5}#=jn1n<5+73873c=#>o?=3:17b:6d;29?xd?ll0:684?:1y'1fb=j81/;?4;7g9'0c?=0<20D;h4n643>1073`296=4G5c98m=5=831b494?::k;1?6=3f>2h7>5;|`;`c<62<0;6=u+5bf9f4=#?;0?;k5+4g;9<0><@?l0b:8?:542?l>5290C9o54i9194?=n0=0;66g75;29?j2>l3:17pl7e182>0<729q/9nj5b09'37<3?o1/8k7584:8L3`<;698=;h:1>55;n6:`?6=3th3i<4>:483>5}#=jn1n<5+73873c=#>o?=3:17b:6d;29?xd?m;0:684?:1y'1fb=j81/;?4;7g9'0c?=0<20D;h4n643>1033`296=4G5c98m=5=831b494?::k;1?6=3f>2h7>5;|`;ga<62<0;6=u+5bf9f4=#?;0?;k5+4g;9<0><@?l0b:8?:546?l>5290C9o54i9194?=n0=0;66g75;29?j2>l3:17pl7cd82>0<729q/9nj5b09'37<3?o1/8k7584:8L3`<;6989;h:1>55;n6:`?6=3th3ok4>:483>5}#=jn1n<5+73873c=#>o?=3:17b:6d;29?xd?l90:684?:1y'1fb=j81/;?4;7g9'0c?=0<20D;h4n643>10?3`296=4G5c98m=5=831b494?::k;1?6=3f>2h7>5;|`;`4<62<0;6=u+5bf9f4=#?;0?;k5+4g;9<0><@?l0b:8?:54:?l>5290C9o54i9194?=n0=0;66g75;29?j2>l3:17pl60782>0<729q/9nj5b09'37<3191/8k7584:8L3`<;698n;h:1>5<>o?=3:17b:6d;29?xd>810:684?:1y'1fb=j81/;?4;919'0c?=0<20D;h4n643>10e3`296=44i9194?=n0=0;66g75;29?j2>l3:17pl7e482>0<729q/9nj5b09'37<3191/8k7584:8L3`<;698l;h:1>5<>o?=3:17b:6d;29?xd?m>0:684?:1y'1fb=j81/;?4;919'0c?=0<20D;h4n643>10c3`296=44i9194?=n0=0;66g75;29?j2>l3:17pl7e882>0<729q/9nj5b09'37<3191/8k7584:8L3`<;698j;h:1>5<>o?=3:17b:6d;29?xd?mk0:684?:1y'1fb=j81/;?4;919'0c?=0<20D;h4n643>10a3`296=44i9194?=n0=0;66g75;29?j2>l3:17pl7ee82>0<729q/9nj5b09'37<3191/8k7584:8L3`<;699?;h:1>5<>o?=3:17b:6d;29?xd?mo0:684?:1y'1fb=j81/;?4;919'0c?=0<20D;h4n643>1163`296=44i9194?=n0=0;66g75;29?j2>l3:17pl7f082>0<729q/9nj5b09'37<3191/8k7584:8L3`<;699=;h:1>5<>o?=3:17b:6d;29?xd>9l0:694?:1y'1fb=j81/;?4;789'0c?=0<20D;h4n643>1143`296=44i9194?=n0=0;66a;9e83>>{e19k1=7:50;2x 0ec2k;0(:<546;8 1`>21?37E8i;o554?20<2c3>7>5;h:0>5<1<75`48f94?=zjo=1=7:50;2x 0ec2k;0(:<549c8 1`>21?37E8i;o554?20=2c3>7>5;h:0>5<1<75`48f94?=zjo21=7:50;2x 0ec2k;0(:<549c8 1`>21?37E8i;o554?20>2c3>7>5;h:0>5<1<75`48f94?=zj1n96<4::183!3dl3h:7)9=:5;0?!2a132>46F9f:l425<3?>1b4?4?::k;7?6=3`2?6=4G5c98m=3=831d84j50;9~f=b4280>6=4?{%7``?d63-=9697<;%6e=?>202B=j6`861873==n0;0;66g73;29?l>32900e5;50;9l05<7s-?hh7l>;%51>1?43->m576:8:J5b>h0>90?;45f8383>>o?;3:17d6;:188m=3=831d84j50;9~f=b2280>6=4?{%7``?d63-=9697<;%6e=?>202B=j6`861873d=n0;0;66g73;29?l>32900e5;50;9l05<7s-?hh7l>;%51>1?43->m576:8:J5b>h0>90?;o5f8383>>o?;3:17d6;:188m=3=831d84j50;9~f=b?280>6=4?{%7``?d63-=9697<;%6e=?>202B=j6`861873f=n0;0;66g73;29?l>32900e5;50;9l05<7s-?hh7l>;%51>1?43->m576:8:J5b>h0>90?;i5f8383>>o?;3:17d6;:188m=3=831d84j50;9~f=bf280>6=4?{%7``?d63-=9697<;%6e=?>202B=j6`861873`=n0;0;66g73;29?l>32900e5;50;9l05<7s-?hh7l>;%51>1?43->m576:8:J5b>h0>90?;k5f8383>>o?;3:17d6;:188m=3=831d84j50;9~f=`4280>6=4?{%7``?d63-=9697?;%6e=?>202B=j6`86187<5=n0;0;66g73;29?l>32900e5;50;9l05<7s-?hh7l>;%51>11a3->m576:8:J5b>h0>90?4<5f8383>M3e32c3?7>5;h:7>5<46F9f:l425<30;1b4?4?::k;7?6=3f>2h7>5;|`;b0<62<0;6=u+5bf9f4=#?;0?5=5+4g;9<0><@?l0b:8?:5:0?l>52900e5=50;9j<1<722c397>5;n6:`?6=3thm57?54;294~"2km0i=6*82;6;e>"3n003955G6g9m336=<1>0e5<50;9j<6<722c387>5;n6:`?6=3thmm7?54;294~"2km0i=6*82;6;e>"3n003955G6g9m336=<1?0e5<50;9j<6<722c387>5;n6:`?6=3thmn7?54;294~"2km0i=6*82;6;e>"3n003955G6g9m336=<1<0e5<50;9j<6<722c387>5;n6:`?6=3thmo7?54;294~"2km0i=6*82;6;e>"3n003955G6g9m336=<1=0e5<50;9j<6<722c387>5;n6:`?6=3thmh7?54;294~"2km0i=6*82;6;e>"3n003955G6g9m336=<120e5<50;9j<6<722c387>5;n6:`?6=3thmi7?54;294~"2km0i=6*82;6;e>"3n003955G6g9m336=<130e5<50;9j<6<722c387>5;n6:`?6=3th:?94>:283>5}#=jn1n<5+7387202B=j6`86187l3:17pl>3782>6<729q/9nj5b09'37<30m1/8k7584:8L3`<;696l;h:1>5<46F9f:l425<30m1b4?4?::k;7?6=3f>2h7>5;|`272<62:0;6=u+5bf9f4=#?;0?4n5+4g;9<0><@?l0b:8?:5:f?l>52900e5=50;9l05<7s-?hh7l>;%51>1>d3->m576:8:J5b>h0>90?4k5f8383>>o?;3:17b:6d;29?xd6;10:6>4?:1y'1fb=j81/;?4;8e9'0c?=0<20D;h4n643>1?73`296=44i9194?=h<0n1<75rb03;>4<4290;w);ld;`2?!152=2o7):i9;:6<>N1n2d<:=4;909j<7<722c3?7>5;n6:`?6=3th:?44>:283>5}#=jn1n<5+738728086=4?{%7``?d63-=9696k;%6e=?>202B=j6`86187=6=n0;0;66g73;29?j2>l3:17pl>3`82>6<729q/9nj5b09'37<30m1/8k7584:8L3`<;697;;h:1>5<46F9f:l425<31<1b4?4?::k;7?6=3f>2h7>5;|`27g<62:0;6=u+5bf9f4=#?;0?4i5+4g;9<0><@?l0b:8?:5;5?l>52900e5=50;9l05<7s-?hh7l>;%51>1>c3->m576:8:J5b>h0>90?5:5f8383>>o?;3:17b:6d;29?xd6;j0:6>4?:1y'1fb=j81/;?4;8e9'0c?=0<20D;h4n643>1??3`296=44i9194?=h<0n1<75rb03`>4<4290;w);ld;`2?!152=2o7):i9;:6<>N1n2d<:=4;989j<7<722c3?7>5;n6:`?6=3thm87?54;294~"2km0i=6*82;6;e>"3n003955G6g9m336=<0k0e5<50;9j<6<722c387>5;n6:`?6=3th>=54>:283>5}#=jn1n<5+7387=2=#6=4?{%7``?d63-=9699>;%6e=?3c92B=j6`86187=f=n0;0;66g73;29?l>32900e5;50;9l05<7s-?hh7l>;%51>1>33->m57;k1:J5b>h0>90?5i5f8383>>o?;3:17d6;:188m=3=831d84j50;9~f0b?280>6=4?{%7``?d63-=9696;;%6e=?3c92B=j6`86187=`=n0;0;66g73;29?l>32900e5;50;9l05<7s-?hh7l>;%51>1?73->m57;k1:J5b>h0>90?5k5f8383>>o?;3:17d6;:188m=3=831d84j50;9~f3c>280>6=4?{%7``?d63-=9698?;%6e=?3c92B=j6`86187e5=n0;0;66g73;29?l>32900e5;50;9l05<7s-?hh7l>;%51>1>f3->m576:8:J5b>h0>90?m<5f8383>>o?;3:17d6;:188k1?c2900qo?if;391?6=8r.>oi4m1:&46?2192.?j447599K2c=i??:18l<4i9094?=n0:0;66g74;29?l>22900c97k:188yg>7k3;197>50z&6ga7:97:&7b<>o?<3:17d6::188k1?c2900qo9jf;390?6=8r.>oi4m1:&46?21i2.?j447599K2c=i??:18l:4i9094?=n0:0;66g74;29?j2>l3:17pl=1282>1<729q/9nj5b09'37<30h1/8k7584:8L3`<;69o:;h:1>5<>i31m0;66sm20695?3=83:p(8mk:c38 24=>{e0931=7;50;2x 0ec2k;0(:<54758 1`>21?37E8i;o554?2f?2c3>7>5;h:0>5<1<75f8483>>i31m0;66sm7eg95?2=83:p(8mk:c38 24=4<3290;w);ld;`2?!152==>7):i9;:6<>N1n2d<:=4;a89j<7<722c3?7>5;h:7>5<"0:3><86*;f88;1==O>o1e;;>54`c8m=4=831b4>4?::k;0?6=3`2>6=44o5;g>5<"3n003955G6g9m336=5;h:6>5<"0:3>o1e;;>54`a8m=4=831b4>4?::k;0?6=3`2>6=44o5;g>5<"3n003955G6g9m336=5;h:6>5<"0:3>3=6*;f88;1==O>o1e;;>54`g8m=4=831b4>4?::k;0?6=3`2>6=44o5;g>5<"3n003955G6g9m336=t$4ag>g7<,>8185j4$5d:>=3?3Ao?:3:17d6<:188k1?c2900qo?oi4m1:&46?2?l2.?j447599K2c=i??:18o?4i9094?=n0:0;66a;9e83>>{e98o1=7=50;2x 0ec2k;0(:<549f8 1`>21?37E8i;o554?2e:2c3>7>5;h:0>5<"0:3>3h6*;f88;1==O>o1e;;>54c18m=4=831b4>4?::m7=a<722wi=<;51;194?6|,i86g72;29?l>42900c97k:188yg>7>3;197>50z&6ga7:66:&7b<>o?<3:17d6::188k1?c2900qo?id;397?6=8r.>oi4m1:&46?2?k2.?j447599K2c=i??:18o84i9094?=n0:0;66a;9e83>>{e:<;1=7=50;2x 0ec2k;0(:<549a8 1`>21?37E8i;o554?2e?2c3>7>5;h:0>5<"0:3>3n6*;f88;1==O>o1e;;>54c:8m=4=831b4>4?::k;0?6=3f>2h7>5;|`116<62<0;6=u+5bf9f4=#?;0?455+4g;9<0><@?l0b:8?:5`:?l>52900e5=50;9j<1<722c397>5;n6:`?6=3th9984>:583>5}#=jn1n<5+7387<<51;194?6|,in6g72;29?l>42900c97k:188yg41>3;1?7>50z&6ga7:7c:&7b<>i31m0;66sm27595?2=83:p(8mk:c38 24=<1h0(9h6:97;?M0a3g==<7:md:k;6?6=3`286=44i9694?=h<0n1<75rb34;>4<2290;w);ld;`2?!152=237):i9;:6<>N1n2d<:=4;bd9j<7<722c3?7>5;h:7>5<46F9f:l425<3jo1b4?4?::k;7?6=3`2?6=44o5;g>5<"3n00>h<5G6g9m336=5;h:6>5<3:146*:ce8415=#>6=44i5c94?=n>i3im0;66sm85195?0=83:p(:<55g9'0c?=0<20(8mk:673?!2am3937)6l:d9K2c=i??:18n<4i5d94?=n<<0;66g;a;29?l2fk3:17d:=:188k1gc2900qo9ie;391?6=8r.>oi4m1:&46?2002.?j447599K2c=i??:18n=4i9094?=n0:0;66g74;29?l>22900c97k:188yg2>28096=4?{%7``?>13->m576:8:&:6?>?3Ao?93:17b::9;29?xd4?>0:6?4?:1y'1fb=j81/;?4;989'0c?=0<20D;h4n643>1e23`296=44o5;g>5<"3n003955G6g9m336=9m:081>5<7s-?hh7l>;%51>1?>3->m576:8:J5b>h0>90?o:5f8383>>i31m0;66sm36f95?4=83:p(8mk:c38 24=<030(9h6:97;?M0a3g==<7:l8:k;6?6=3f>2h7>5;|`03c<62;0;6=u+5bf9f4=#?;0?545+4g;9<0><@?l0b:8?:5a:?l>52900c97k:188yg5?93;1>7>50z&6ga7:69:&7b<46F9f:l425<3kk1b4?4?::m7=a<722wi?:;51;094?6|,ho6g72;29?j2>l3:17pl>6682>7<729q/9nj5b09'37<3101/8k7584:8L3`<;69mk;h:1>5<"0:3>256*;f88;1==O>o1e;;>54bg8m=4=831d84j50;9~f4>128096=4?{%7``?d63-=96976;%6e=?>202B=j6`86187gc=n0;0;66a;9e83>>{e9121=7<50;2x 0ec2k;0(:<548;8 1`>21?37E8i;o554?2c82c3>7>5;n6:`?6=3th85n4>:383>5}#=jn1n<5+7387=<=#oi4m1:&46?2>12.?j447599K2c=i??:18i<4i9094?=h<0n1<75rb2c3>4<5290;w);ld;`2?!152=327):i9;:6<>N1n2d<:=4;d29j<7<722e?5i4?::a7d4=9381<7>t$4ag>g7<,>818474$5d:>=3?3Ao?:3:17b:6d;29?xd4i=0:6?4?:1y'1fb=j81/;?4;989'0c?=0<20D;h4n643>1b23`296=44o5;g>5<"3n003955G6g9m336=o7:081>5<7s-?hh7l>;%51>1?>3->m576:8:J5b>h0>90?h:5f8383>>i31m0;66sm38c95?4=83:p(8mk:c38 24=<030(9h6:97;?M0a3g==<7:k8:k;6?6=3f>2h7>5;|`0g4<62;0;6=u+5bf9f4=#?;0?545+4g;9<0><@?l0b:8?:5f:?l>52900c97k:188yg5d;3;1>7>50z&6ga7:69:&7b<46F9f:l425<3lk1b4?4?::m7=a<722wi=l<51;094?6|,oo6g72;29?j2>l3:17pl7<729q/9nj5b09'37<3101/8k7584:8L3`<;69jk;h:1>5<"0:3>256*;f88;1==O>o1e;;>54eg8m=4=831d84j50;9~f4d>28096=4?{%7``?d63-=96976;%6e=?>202B=j6`86187`c=n0;0;66a;9e83>>{e9kh1=7<50;2x 0ec2k;0(:<548;8 1`>21?37E8i;o554?2b82c3>7>5;n6:`?6=3th9i=4>:383>5}#=jn1n<5+7387=<=#oi4m1:&46?2>12.?j447599K2c=i??:18h<4i9094?=h<0n1<75rb865>4<5290;w);ld;`2?!152=327):i9;:6<>N1n2d<:=4;e29j<7<722e?5i4?::a<<7=9381<7>t$4ag>g7<,>818474$5d:>=3?3Ao?:3:17b:6d;29?xd>;h0:6?4?:1y'1fb=j81/;?4;989'0c?=0<20D;h4n643>1c23`296=44o5;g>5<"3n003955G6g9m336=5<7s-?hh7l>;%51>1?>3->m57;k1:J5b>h0>90?i:5f8383>>i31m0;66sm5g795?4=83:p(8mk:c38 24=<030(9h6:4f2?M0a3g==<7:j8:k;6?6=3f>2h7>5;|`50a<62;0;6=u+5bf9f4=#?;0?545+4g;91a7<@?l0b:8?:5g:?l>52900c97k:188yg05n3;1>7>50z&6ga7:69:&7b<<2l81C:k5a77290`g;I4e?k1183>no6g72;29?j2>l3:17pl98b82>7<729q/9nj5b09'37<3101/8k755e38L3`<;69kk;h:1>5<"0:3>256*;f886`4=O>o1e;;>54dg8m=4=831d84j50;9~f3>?28096=4?{%7``?d63-=96976;%6e=?3c92B=j6`86187ac=n0;0;66a;9e83>>{e>1<1=7<50;2x 0ec2k;0(:<548;8 1`>27>5;n6:`?6=3th=494>:383>5}#=jn1n<5+7387=<=#oi4m1:&46?2>12.?j44:d09K2c=i??:18k<4i9094?=h<0n1<75rb74g>4<5290;w);ld;`2?!152=327):i9;7g5>N1n2d<:=4;f29j<7<722e?5i4?::a23d=9381<7>t$4ag>g7<,>818474$5d:>0b63Ao?:3:17b:6d;29?xd1>00:6?4?:1y'1fb=j81/;?4;989'0c?==m;0D;h4n643>1`23`296=44o5;g>5<"3n00>h<5G6g9m336=5<7s-?hh7l>;%51>1?>3->m57;k1:J5b>h0>90?j:5f8383>>i31m0;66sm7g195?4=83:p(8mk:c38 24=<030(9h6:97;?M0a3g==<7:i8:k;6?6=3f>2h7>5;|`4a7<62;0;6=u+5bf9f4=#?;0?545+4g;9<0><@?l0b:8?:5d:?l>52900c97k:188ygb128096=4?{%7``?d63-=96976;%6e=?>202B=j6`86187bd=n0;0;66a;9e83>>{en?0:6?4?:1y'1fb=j81/;?4;989'0c?=0<20D;h4n643>1`e3`296=44o5;g>5<"3n003955G6g9m336=5<7s-?hh7l>;%51>1?>3->m576:8:J5b>h0>90?ji5f8383>>i31m0;66sm2d795?4=83:p(8mk:c38 24=<030(9h6:97;?M0a3g==<7:ie:k;6?6=3f>2h7>5;|`055<62;0;6=u+5bf9f4=#?;0?545+4g;9<0><@?l0b:8?:5de?l>52900c97k:188yg57n3;1>7>50z&6ga7:69:&7b<46F9f:l425<2881b4?4?::m7=a<722wi;k<51;094?6|,6g72;29?j2>l3:17pl8e082>7<729q/9nj5b09'37<3101/8k7584:8L3`<;68><;h:1>5<5<7s-?hh7l>;%51>1>a3->m576:8:J5b>h0>90><85f8383>>o?;3:17d6;:188m=3=831d84j50;9~f=57280?6=4?{%7``?d63-=9699:;%6e=?>202B=j6`8618643=n0;0;66g73;29?l>32900c97k:188yg13:3;197>50z&6ga7:84:&7b<<2l81C:k5a7729151>o?<3:17d6::188k1?c2900qo9;3;390?6=8r.>oi48529'0c?==m;0D;h4n643>06?3`296=44i9194?=n4<3290;w);ld;567>"3n00>h<5G6g9m336==9k0e5<50;9j<6<722c?j<4?::m71<<722wi:hh51;794?6|,;I4e?k1183?;n6g72;29?l>42900e5:50;9j<0<722e?5i4?::a2c6=93>1<7>t$4ag>2343->m57;k1:J5b>h0>90>>o?;3:17d:i1;29?j2213:17pl:e182>1<729q/9nj5b09'37<3??1/8k755e38L3`<;68>k;h:1>5<>i31m0;66sm5d395?3=83:p(8mk:c38 24=<>{e=l81=7:50;2x 0ec2>?87):i9;7g5>N1n2d<:=4:0g9j<7<722c3?7>5;h6e5?6=3f>>57>5;|`;6d<62=0;6=u+5bf9f4=#?;0?9o5+4g;9<0><@?l0b:8?:433?l>52900e5=50;9j<1<722e?5i4?::a<7d=9391<7>t$4ag>g7<,>818:m4$5d:>=3?3Ao?:3:17d6<:188k1?c2900qo6=c;390?6=8r.>oi48529'0c?=0<20D;h4n643>0753`296=44i9194?=n46F9f:l425<29:1b4?4?::k;7?6=3`2?6=44i9794?=h<0n1<75rb93f>4<2290;w);ld;`2?!152==:7):i9;:6<>N1n2d<:=4:159j<7<722c3?7>5;h:7>5<?6*;f88;1==O>o1e;;>55078m=4=831b4>4?::k7b4<722e?944?::a=66=9391<7>t$4ag>g7<,>818594$5d:>=3?3Ao?:3:17d6<:188k1?c2900qo7<4;397?6=8r.>oi4m1:&46?2??2.?j447599K2c=i??:19<94i9094?=n0:0;66a;9e83>>{e?h?1=7:50;2x 0ec2k;0(:<54628 1`>21?37E8i;o554?3602c3>7>5;h:0>5<1<75`48f94?=zj03?6<4::183!3dl3h:7)9=:5:0?!2a132>46F9f:l425<2901b4?4?::k;7?6=3`2?6=44i9794?=h<0n1<75rb8;e>4<2290;w);ld;`2?!152=287):i9;:6<>N1n2d<:=4:1`9j<7<722c3?7>5;h:7>5<46F9f:l425<29k1b4?4?::k;7?6=3`2?6=44i9794?=h<0n1<75rb8;2>4<3290;w);ld;`2?!152=2>7):i9;:6<>N1n2d<:=4:1b9j<7<722c3?7>5;h:7>5<"0:3><96*;f88;1==O>o1e;;>550f8m=4=831b4>4?::k;0?6=3f>2h7>5;|`;47<62<0;6=u+5bf9f4=#?;0?:h5+4g;9<0><@?l0b:8?:43f?l>52900e5=50;9j<1<722c397>5;n6:`?6=3th<;h4>:283>5}#=jn1n<5+7387=2=#202B=j6`8618665=n0;0;66g73;29?j2>l3:17pl89782>6<729q/9nj5b09'37<31>1/8k7584:8L3`<;68<>;h:1>5<326<4<:183!3dl3h:7)9=:5;4?!2a132>46F9f:l425<2:;1b4?4?::k;7?6=3f>2h7>5;|`4=f<62:0;6=u+5bf9f4=#?;0?5:5+4g;9<0><@?l0b:8?:400?l>52900e5=50;9l05<7s-?hh7l>;%51>1?03->m576:8:J5b>h0>90>>95f8383>>o?;3:17b:6d;29?xd0i;0:6>4?:1y'1fb=j81/;?4;969'0c?=0<20D;h4n643>0423`296=44i9194?=h<0n1<75rb6:2>4<4290;w);ld;`2?!152=3<7):i9;:6<>N1n2d<:=4:279j<7<722c3?7>5;n6:`?6=3th<494>:483>5}#=jn1n<5+73872a=#2h7>5;|`4<2<62<0;6=u+5bf9f4=#?;0?:i5+4g;9<0><@?l0b:8?:40;?l>52900e5=50;9j<1<722c397>5;n6:`?6=3th<4l4>:483>5}#=jn1n<5+73872a=#2h7>5;|`4<@?l0b:8?:40b?l>52900e5=50;9j<1<722c397>5;n6:`?6=3th2?;4>:283>5}#=jn1n<5+7387=2=#202B=j6`861866f=n0;0;66g73;29?j2>l3:17pl6a582>0<729q/9nj5b09'37<3?:1/8k7584:8L3`<;685<>o?=3:17b:6d;29?xd0no0:684?:1y'1fb=j81/;?4;799'0c?=0<20D;h4n643>04b3`296=44i9194?=n0=0;66g75;29?j2>l3:17pl;5;395?6=8r.>oi463:&:6?>?3-kn6ok4H7d8j2072<8m7b:i8;29?xd0n3;1=7>50z&6ga<31j1/5<4mb:l67?>4$8090k2.2>7:6d:&ba?dc3Ao3n>0;66sm9c82>4<729q/9nj548a8 <4=<0n0(lk5bb9K2c=i??:19><4i5d4>5<:183!3dl3>2o6*62;6:`>"fm3>j?6F9f:l425<2;:1b8k950;9~ft$4ag>1?d3-39697k;%cf>1g53Ao3n>0;66sm9d82>4<729q/9nj548a8 <4=<0n0(lk542f8L3`<;68=:;h6e3?6=3th2j7?51;294~"2km0?5n5+9387=a=#il0??55G6g9m336==:<0e9h8:188ygg7280:6=4?{%7``?2>k2.2>7:6d:&ba?2f92B=j6`8618672=n<;68=7;h6e3?6=3th?m44>:083>5}#=jn184m4$809050z&6ga<31j1/5<4mb:l67?>4$809050z&6ga<31j1/5<4mb:l67?>4$809050z&6ga<31j1/5<4mb:l67?>4$809050z&6ga<31j1/5<4mb:l67?>4$80903;1=7>50z&6ga<31j1/5<4mb:l67?>4$809050z&6ga<31j1/5<4mb:l67?>4$809050z&6ga<31j1/5<4mb:l67?>4$809050z&6ga<31j1/5<4mb:l67?>4$809050z&6ga<31j1/5<4mb:l67?>4$80902j3;1>7?53z&6ga<0l2B>on5a7729115>d3?3:187>50z&6ga<0<;68:;;h:1>55<6s4><69h>;|a<0e=9381=7=t$4ag>2b<@o2l:0:66a:d382>>d2l=0;694?:1y'1fb=?=l0(9h6:4f2?M0a3g==<7;;6:k;6?6=3`286=44i5d2>5vP:d29>1a2=0;1v8j=:181[3c:27>h94;589~w0b2290:w0;k4;6e5>{zj=n1=7>50;2xL23>3-?hh7:l;I7`e>N3=11e;;>55558yg2e83;1<7>50zJ41g=#=jn18lh4H4ab?M2202d<:=4:499~w20d2908w0999;6e?8b021901:8k:5;g?xu2l;0;69lt=64:>13<5>13<5>==69;4=654>13<5>=369;4=65:>13<5>n269;4=8:a>13<51=;69;4=952>13<51=i69;4=95`>13<51=o69;4=95f>13<51=m69;4=9:3>13<512:69;4=9:1>13<51=969;4=950>13<51=?69;4=956>13<51==69;4=954>13<51=369;4=95:>13<51=j69;4=6`4>13<5>h369;4=6`b>13<5>hi69;4=6``>13<5>ho69;4=6`f>13<5>hm69;4=6a3>13<5>i:69;4=6`:>13<5>io69;4=6af>13<5>n;69;4=6f2>13<5>n969;4=6f0>13<5>n?69;4=6f6>13<5>n=69;4=6f4>13<5>im69;4=91`>13<519o69;4=91e>13<51>;69;4=962>13<51>969;4=967>13<51>>69;4=965>13<51><69;4=91f>13<5013<50=969;4=850>13<50=?69;4=856>13<50=<69;4=85;>13<50=269;4=85b>13<50=:69;4=855>13<51>869;4=97`>0b53ty<:;4?:3y>33?=;c03287099f;6:`>{t??=1<71gc34==j76=;|q435<72hq6;;l54g9>`<=5<5mi14>52de8;7>;c<3287078f;:7?8>3k32?70981;6:`>{t??21<71gc34=<=76=;|q437<72:q6;:854g9>320=;0?>0?>63879876>;0?00?>637098;7>;>:o0386387e87=a=:19<14>5291:9<6=:0l?14>528d59<6=:0l314>528d`9<6=:0ln14>528dd9<6=:0o;14>52f68;0>;a032?706kd;:0?8`>21>01ko5859>bg=2<5oo14952f58;7>;>1803?6s|76194?5|5>=<69h4=654>1gc34l365<4}r540?6=;r7<;54;f:?43=<3im16j4472:p323=832p1:96:5d8921>2=ko70hn:9189cd=0:16jn473:?e`?>434ln65=4=g69<1=z{1:>6=4={<5g=?2a342;:7:6d:p3ce=83kp1:j6:5c89<4a21801:hl:5;g?8>b132?706jb;:7?8>bl32?706jf;:7?8>a932?706i3;:7?8>a=3287p}70683>7}:?m318?5281:9053z?4`<<3im1699:5829>115=0:1v46l:1818??j3>m7077d;6:`>{t11o1<714<503<697k;|q:j70;84;6b?830;3>j70;82;6b?83093>j70;80;6b?831m3>j70;9d;6b?831k3>j70;9b;6b?831i3>j70;99;6b?83103>j70;97;6b?831>3>j70;95;6b?830j3>j70;8a;6b?83013>j70;88;6b?830?3>j70;86;6b?830=3>j70;9f;6b?831<3>j70;93;6b?8?>?3297077d;:1?8?6k328707>a;:0?8?60328707>6;:0?8?6<329707>2;:1?8?68329707?e;:1?8?7k329707=e;:1?8?5k329707=a;:1?8?50329707=6;:1?8?5<329707=2;:1?8?58329707>e;:1?8?7i329707<0;:1?xu>9k0;6?u286290c=:18i184j4}r;1b?6=9=q64:>5439><27=<;164:l5439><2e=<;164:j5439><2c=<;164:h5439><=6=<;1645?5439><=4=<;164:<5439><25=<;164::5439><23=<;164:85439><21=<;164:65439><2?=<;164:o5439>=7`=<0n0q~6:d;296~;??90?mi5276g9<7=z{0;26=4={<:45?2a343:m7:6d:p<31=838p159>:5cg?81>;3297p}61683>7}:0>h18k5290:9052z?;3g<3im16;485839~w<722909w068c;6e?8?6>3>2h6s|87;94?5|51=h69ok;<10=?>434=2576=;|q:56<72;q64:j54g9>=42=<0n0q~69a;297~;??m0?mi5232;9<7=:?0i14?5rs832>5<5s42l2wx4;l50;1x9=1b2=ko7096f;:1?81?<32?7p}60g83>7}:0>l18k529029053z?;3c<3im16;l<5839>3=2=0:1v4>k:1818>?83>m707?e;6:`>{t0?n1<7=t=9:3>1gc3498n76=;<5;5?>53ty2<=7=1m3:1?v378087ea=:0m814?527959<1=z{08o6=4={<:;6?2a3439i7:6d:p<3`=839p156=:5cg?8>c;32970977;:0?xu>:k0;6?u286090c=:1;i184j4}r:6a?6=;r73;?4;ae9>76d=0:164i:5839~w<4>2909w0683;6e?8?5i3>2h6s|84d94?5|51=869ok;<10`?>4342o976=;|q:62<72;q64::54g9>=7>=<0n0q~690;297~;??=0?mi5232f9<7=:0m<14?5rs806>5<5s42<97:i;<;12?2>l2wx4;?50;1x9=122=ko706k8;:1?81?i32?7p}62283>7}:0><18k52936907>53z?;33<3im164i75839>3=g=0:1v4<>:1818>0?3>m707=2;6:`>{t0?91<7=t=954>1gc3498j76<;<:ge?>53ty2=k4?:3y><2>=548f8yv>1<3:1?v377987ea=:;:l14?528e`9<7=z{0;o6=4={<:4=?2a343:i7:6d:p<33=839p1596:5cg?81?l32?70960;:1?xu>800;6?u286c90c=:19k184j4}r:52?6=;r73;l4;ae9>jh63<068;6>;48103>63=238;7>;5??03>63=6c865>;5m903>6s|4bf94?4|5>h369h4=d2900?56z?4f=<3im16?5k54g3896gf2hh01no54g389`6=ik16=585839~w1b62909w09ma;6e?8c62=?27p}8ag83>3}:?kk18lj4=055>1`634;3o7om;07<5:3h65<4=e49<7=z{=n>6=4={<5af?2a34o969;6;|q4f5<72?q6;ol54`f896?62=l:70=nc;ca?8b021801nj5509>5=>=0;1v9j6:18181ek3>m70k<:57:?xu0j80;6;u27ca90db<58=269h>;<3;a?ge34n365<4=bg914=:;0o14?5rs5fg>5<5s4=ih7:i;13>3ty3gb=5839~w1c62909w09me;6e?8c22=?27p}8b283>3}:?ko18lj4=05g>1`634;2<7om;=4<5m:19<523`09<7=z{=o>6=4={<5ab?2a34o=69;6;|q4f1<72?q6;oh54`f8941a2=l:70?61;ca?8be21801i?5509>7d2=0;1v9k6:18181d83>m70k8:57:?xu0j<0;6;u27b290db<582:69h>;<3:6?ge34nh65<4=e0914=:;h<14?5rs5gg>5<5s4=h=7:i;13>3ty3f7=;3ki70jk:9089a5==816?l65839~w1e>2909w09m9;6e?8ba2=?27p}8ae83>1}:?k318lj4=0::>dd<5m>14?5238c9<7=z{>i96=4j{<5``?2a34=hh7:nd:?016=}:?jo18lj4=24:>1`6349397om;<161?>4349mh76<;07<58<<65<4=g49<7=z{=n96=4={<5g4?2a34;;>7::9:p3f3=832p1:j?:5cg?872?3>m=63>6e8bf>;4=?03?63;bk3?:70h8:91896102180q~:k6;296~;0l80?j63>02871<=z{>i=6=47{<5g5?2fl278:n4;f09>7=1=ik16?895829>7c`=0:16ii4:1:?e434;=576=;|q7`d<72;q6;i<54g9>552=<<30q~9l7;29<~;0l;0?mi5214c90c7<58=5<5=:;65=4=dg914=:n003?63<788;6>{t1`<58:>69;6;|q4g=<721q6;i=54`f8943d2=l:70?80;ca?852132870:?1;:0?8ca2<;01ko5839>72d=0;1v9k=:18181c<3>m70??6;66=>{t?j31<76t=6f7>1gc34;>i7:i1:?234;=4<5:=o65<4}r6f2?6=:r7jh63>6187b4=:9>81mo5234`9<6=:<9914>52f0865>;ak32970=8f;:1?xu3mh0;6?u27e490c=:99218874}r5`f?6=0r7534=;:9189c4==816ji472:?0<46:57:?xu0kj0;65u27e590db<58;<340?ge349>h76<;<631?>434l868?4=gg9<7=:;1914?5rs5ab>5<5s4=hj7:i;<334?2212wx;n=50;4x92ea2=ko70?9a;ca?852<32870=ic;:0?8`321801>9::908yv2d?3:1>v373b87b>;69o0?945rs96a>5l2738>4;2:p<67=83hp15=l:5cg?852;32970=;9;72?856k32970=>1;72?84en32870?i8;:0?843k32970<;1;72?877i3?:70?>4;:1?xu3ko0;6?u282f90c=:9;;18874}r:07?6=jr73?i4;ae9>703=0;16?9o5509>7g5=m6:``894`>21901?:k:90897242<;01<>m:438947121801v373g87b>;6:;0?945rs917>55cg=0:16>9k5839>612==816==m5509>541=0;16?n?5839~w1b02909w06;0;6e?875;3>>56s|82794?d|51>;69ok;<163?>5349?o7;>;<1a2?2a9278oo4nb:?2bg:5d894432=?27p}73783>g}:0=;18lj4=27;>=4<5:>o68?4=0;;>1`634;j;7om;<3eg?>4348><76=;<072?3634;;i7;>;<32=?>5349h?76=;|q7`c<72:q649<54g9>573=<<3015:<:5d8yv>4?3:1=;u285090db<5:h269h>;<1``?ge34;>876;;<366?>334=m976=;<172?>3349?976;;<5e2?>434;>?76;;<361?>2349h:76:;<1`1?>3349h876<;<3ea?>434;mj76;;<3e`?>4348>=76=;<066?>5348>?76:;<5e7?>534=m>76=;|q7a6<72;q649:54g9>570=<<30q~6<8;2953}:0=>18lj4=27b>=4<5:>m68?4=033>07<58??65=4=071>=5<5>l>65=4=265>=5<5>oi65;4=266>=5<5:>365=4=6d5>=4<58?865=4=076>=2<5:i=65:4=2a6>=5<5:i?65<4=03a>=4<58ln65:4=0de>=3<5;?965=4=370>=5n;7>52z?;00<3n27:>:4;589~w=5>290:>v374487ea=:;523b59<1=:;j<14>523b79<7=:98i14?521gd9<6=::<914?5rs5ga>5<5s42?:7:i;<31o50;gx9=212=ko70=:c;:1?85293?:70?>2;72?872<32970=;6;:1?81bj32870=;7;:0?872=32970=l7;:0?85en32?70=l6;:1?8>7k32?70?>d;:1?xu3mo0;6?u285590c=:9;318874}r:0f?6=mr738:4;ae9>70b=0;16?8<5509>545==816;ko5839>711=0;16;kl5839>3`b=0:16?n95839>7g`=0:164=m5829>3``=0=16=603=0;1v9mm:1818>4m3>m70?=0;66=>{t0:81<79t=91f>1gc349>876=;<5f`?>5349ij76=;<:3g?>534=nj76=;<321?>53ty?o54?:3y>=3`=h544;8yv?0m3:1hv366g876>;>?90?>63673876>;>?:0?>63675876>;>?<0?>63676876>;>?10?>63678876>;>?h0?>63670876>;>?o0?5i52964907=z{0l4:1:?27150;0x9<172=l01<:>:57:?xu>>?0;6ou296290db<5:lo65<4=2d1>07<5:n969h>;<1f5348>47;>;<31f?3634;8:76=;<3a=?>53ty?h94?:3y>=24=dd<5;:m65=4=340>=4<5;?268?4=00`>07<589<65<4=2g3>=4o47>52z?:36<3n27:8>4;589~w<0?290iw0783;6b`>;4no03>63;4l<0?j<523dc9eg=::8:14>522769<7=::m=63>c18bf>;59803?63=648;6>;5=k0>=63>2d865>;6;003>63{t1`<58>>69;6;<;42?2a3ty2:l4?:04x9<122=ko70=k8;6e5>;4mj0jn63>518;7>;6;4<;03?63<408;0>;0m<03?63>4g8;0>;6=803963;4m=03863;59:03?63=158;0>;59;03?63=678;6>;5>>03>63=698;7>;0m;03>638e08;6>{t1<71`<58>=69;6;|q:2g<72870=;2;:7?853932870=;4;:0?81b=32970?;f;:0?872932?70=j5;:7?85b<32870=j3;:1?874j32970<>3;:7?846<32>70<97;:0?841032>7p};e983>7}:1>218k52155900?513y>=2>=<:90896`?2<;01<=>:438943721?01<:j:90892bf21>01>:=:978962621801>:<:968942a21801<;>:91896c121>01>k::91896c321801<=l:908977321901?87:908yv2bk3:1>v367887b>;6<10?945rs84g>550;0x9<1f2=l01<:6:57:?xu>>l0;6hu296c90db<5=:>65<4=2db>07<589868?4=6g:>=4<5:>865<4=6gb>=4<5>nh65=4=2g5>=4<5:nn65=4=92:>=5<5>nn65:4=01f>=4<5;jh63;0lj03>63;?8003>638dd8;6>;6;<03>6s|a283>dg|5:<268?4=24`>07<58?=69=4=04`>15<58?<68?4=07b>07<58?h68?4=07f>07<58<;68?4=041>07<5815<582i69=4=055>07<58=268?4=05a>07<58=o68?4=05e>07<582:68?4=0:0>07<5:2n68?4=2;2>07<58i=69=4=0f:>15<58im68?4=0f3>07<58n:68?4=0f1>07<58n868?4=0f7>07<58n>68?4=0f5>07<58n<68?4=0a4>07<58i368?4=0a:>07<58ij68?4=0aa>07<58ih68?4=0ag>07<58in68?4=213>07<5:9968?4=bc914=:;k919<523c4914=:90>18>521`6906=:90?19<5218:914=:90k19<5218`914=:90i19<5218f914=:90o19<523e0914=:;m?19<521`f906=:9kn18>521`g914=:9k;19<521c1914=:9k>19<521c7914=:9k<19<521c5914=::m<19<522e:914=::mh19<522ga914=:;9819<522gg914=:;9;19<52316914=::jk19<522ba914=::jo19<52287914=::0<19<52285914=:;;=19<5233:914=:;;319<521dg914=::9919<5220:914=::;n19<5252a914=:=:<19<52520914=:=9l19<5251g914=:=9n19<5251a914=:=9k19<5251;914=:=9219<52515914=:=9<19<52517914=:=9>19<52511914=:=9819<52513914=:=8=19<52504914=:=8?19<52506914=:=8919<52500914=:=8;19<52502914=:=9h19<5250;906=:=9:18>5261d914=:>9n19<5261`914=:>9319<52615914=:>9?19<52611914=:>9;19<52601906=:>9:18>525g0914=:=o:19<525dg914=:=li19<525dc914=:=l219<525d4914=:=l>19<525g4906=:=l918>5265c914=:>=219<52654914=:>=>19<52650914=:>=:19<5262g914=:>:i19<5265g906=:>:h18>5263a914=:>;k19<5263:914=:>;<19<52636914=:>;819<52632914=:>8o19<52622906=:>8n18>5266g914=:>>319<52673914=:>e3;=7<5h914<527519<7=:>k=14>5rs532>5<0;r78:44;3:?0<0<3;278:o4:1:?02`<29278:k4:1:?035<29278;<4:1:?037<29278;>4:1:?213<2927:944:1:?230<2927:;54:1:?0<`<3;278ml4;3:?0=5<292785>4:1:?0=1<29278584:1:?0=3<292785:4:1:?0==<29278844;3:?01`<3;278j<4;3:?743<3;27:o;4:1:?075<3;278?<4:1:?076<29278?94:1:?070<29278?;4:1:?0f6<3;278o44;3:?0f0<29278n54:1:?0f<<29278no4:1:?0ff<29278ni4:1:?0f`<2927:594:1:?2=2<29278h?4;3:?0a=<3;278h94:1:?0`2<29278h54:1:?0`d<29278ho4:1:?0`f<29278hi4:1:?2ea<2927:n=4:1:?1`3<3;279h:4:1:?1`<<29279hn4:1:?1bf<3;279jk4:1:?1b`<3;279ol4;3:?1gg<29279oi4:1:?1=0<3;278>:4;3:?1=`<3;279i;4;3:?054<3;279n94;3:?2aa<3;2798<4;3:?107<29279n4;3:?1<5<3;2794<4:1:?671<2927>?h4:1:?67a<2927>?44:1:?67=<2927>?84:1:?677<3;27><=4:1:?545<2927>i>4:1:?57g<2927==i4:1:?5<7<2927=4<4:1:?5<5<2927=;i4:1:?53f<2927=;o4:1:?5=4<3;27=;44;3:?526<2927=:=4:1:?51c<2927=9n4:1:?51g<2927=;=4;3:?51<<3;27=n94;f:?6`7<3n27>hl4;f:?5f=<3n27=j=473:p73?=839p1>86:57:?851j3>870=76;60?xu>;k0;6:u2397900?<5;ki65=4=3c3>07<5;i:65=4=3`5>07<5;9365=4=6c6>=453z?02g<3n816?585ac9>721=<0n0q~=9b;297~;4>k0?945237a906=:;1=18>5rs81`>5<0s493:7::9:?1ef8l:57:?851m3>870=78;60?xu>;m0;6:u2395900?<5;ko65=4=3c1>07<5;i865=4=3`;>07<5;9j65=4=6c6>=253z?02`<3n816?565ac9>72?=<0n0q~=9e;297~;4>l0?945237d906=:;1318>5rs81f>5<0s49347::9:?1e`4:1:?1g18i:5d2?85?13ki70=8b;6:`>{t;?l1<7=t=24e>13>349<<7:<;<1;e?243ty2?k4?:6y>7=?=<<301?oi:91897g32<;01?m::91897df2<;01?=l:918921d2190q~=8c;297~;4?90?j<5239c9eg=:;>n184j4}r144?6=;r78;=4;589>727=<:16?5l5429~w<27290;5j903>63=a4865>;5k?03>63=bc865>;5;m03?6387b8;6>{t;>o1<7=t=252>1`63493n7om;<14b?2>l2wx?:?50;1x96162=?270=82;60?85?k3>87p}64083>2}:;1h18874=3`2>=4<5;k=68?4=3a4>=4<5;hh68?4=31f>=5<5>=i65:4}r1;4?6=;r78;?4;f09>7=e=ik16?5?548f8yv50:3:1?v3<73871<=:;>918>5239f906=z{0>96=48{<1;g?221279n?472:?1e2<29279o5472:?1fa<29279?k473:?43g2h6s|36194?4|5:=869;6;<1;0?243ty28>4?:6y>7=b=<<301?l<:90897g?2<;01?m6:90897db2<;01?:?:918921d21>0q~=84;296~;40=0jn63<7487=a=z{0936=4>2z?0<1<3=016>lo5839>6f6=0;16;:j5839>=6g=0;16;:k5829>3<5=0:16;485829>33<`=0:16;l<5829>3=7=0:16;5:5849>3=1=0<16;5o5849>3=b=0<16;4>5829~w4012908w0?:6;6e5>;6>j0jn63>6687=a=z{8?=6=4<{<362?22127:9:4;3:?22a<3;2wx45850;4x940d2=?270e;:0?84?k32970<72;72?xu6=>0;6>u2145900?<58?269=4=04f>1556z?22a<3=016>nh5859>6c2=0:16?6=b=0;16>5=5509~w40?2908w0?:9;6e5>;6>l0jn63>6887=a=z{8?26=4<{<36=?22127:9l4;3:?22c<3;2wx45650;4x940b2=?270u214c900?<58?h69=4=053>1556z?22c<3=016>i>5829>6c0=0:16???5829>6=`=0;16>5;5509~w43d2908w0?:c;66=>;6=l0??63>70877>{t01k1<78t=053>13>348o<76;;<0e3?>43499>76<;<0:4?>53483:7;>;|q21`<72:q6=8k544;894072=901<9=:518yv>?j3:1:v3>70871<=::m;14?522g:9<7=:;;914?522839<7=::1=19<5rs043>5<4s4;=<7::9:?227<3;27:;>4;3:p<=e=836383>6}:9?818874=047>15<58=?69=4}r:;`?6=>r7:;>4;589>6a7=0=16>ko5839>773=0;16>4=5839>6=?==81v<8;:181871<3>>563>6`877>{t01o1<78t=057>13>348mn76=;<112?>53482876=;<0;e?36348o?76=;|q;<6<72>q6=;o544;897`521801?k8:438967c21801>?=:4389=>221801?j::908yv7?=3:1?v3>7487b4=:91h1mo521949053z?230<3=016=:85429>5=e=<:1v57=:18787?j3>>563=e08;6>;5?>03>63=6e865>{t9><1<7=t=055>13>34;<47:<;<3;`?243ty35>4?:4y>5=e=<<301?k>:918971?21801?8j:438952?=<:16=5k5429~w=?3290>w0?7d;66=>;5m803863=788;6>;5>o0>=636958;7>{t9>31<7=t=05:>13>34;5=c=<<301?k=:908971f21801?9?:43890q~?8b;297~;6?k0?945216f906=:90:18>5rs9;5>5<2s4;3j7::9:?1a7870?61;60?xu?1>0;6;u2182900?<5;n269h>;<04g?>5348<>7;>;<;:4342;>76=;|q23c<72:q6=:h544;894>62=901<7=:518yv>>03:1;v3>90871<=::l914?5226f9<7=::>919<528139<7=:1:>14?528109<1=z{82:6=4<{<3;5?22127:4>4;3:?2=6<3;2wx44750;5x94?52=?27079328707<4;:0?8>7:3287p}>8283>7}:91918874=0::>1559z?2=6<3=016>im54g38971a21801?9::4389<542190146i:9089=6621>015>=:9789<512180q~67f;29<~;6000?94522eg914=:1:914?5299d9<6=:10i14?528839<7=::l?14?529249<6=z{:2n6=4<{<1;a?2212785=4;3:?0eg<3;2wx59950;7x96gf2=?270=?7;:0?857032870<=3;:0?8?>l3297p}<9c83>6}:;0:18k?4=2ca>dd<5:3h697k;|q0=5<72:q6?4>544;896?62=901>ol:518yv?303:19v314>5298f9<6=z{:3:6=4<{<1:5?2212785>4;3:?0ea<3;2wx59750;7x96gd2=?270=?9;:0?857032>70<=5;:0?8?>l32?7p}<9e83>6}:;0918k?4=2cg>dd<5:3n697k;|q0=6<72:q6?4=544;896?32=901>oj:518yv?3i3:19v35298f9<0=z{:3m6=4<{<1:0?2a9278mh4nb:?0e5<31m1v>7;:18085><3>>563<94877>;4io0??6s|95`94?3|5:kn69;6;<13=?>2349;m76<;<013?>43432i76<;|q0e4<72:q6?4;54g3896ga2hh01>o=:5;g?xu41<0;6>u2387900?<5:3=69=4=2`3>1555z?0ec<3=016?=l5839>75g=0=16>?65829>=<`=0;1v>o<:18085>>3>m=63;4i=0?5i5rs2;5>5<4s492:7::9:?0=2<3;278n<4;3:p=1b=83?p1>l?:57:?857j32870=?a;:6?84513287076f;:0?xu4i<0;6>u238590c7<5:h:6ll4=2c5>1?c3ty85:4?:2y>7<1=<<301>77:51896d52=90q~7;e;291~;4j80?945231`9<1=:;9i14?5223c9<6=:10l1495rs2c4>5<4s49247:i1:?0f7;4i00??6s|95d94?3|5:h969;6;<13f?>2349;o76<;<01f?>43432j76:;|q0=<<72;q6?l75ac9>75<4s49>?7:6d:?00<<3n816?8k5ac9~w62>2908w0=;9;66=>;4{t0=n1<713>3482576=;|q010<72:q6?8;548f8962f2=l:70=90;ca?xu4u235c900?<5:>i69=4=242>1552z?025<3=016>475829~w6312908w0=:6;6:`>;4i6=4<{<17f?2212788n4;3:?027<3;2wx48>50;0x96062=?270<69;:7?xu4=>0;6>u234590h69h>;<156?ge3ty88n4?:2y>71e=<<301>:k:51896042=90q~6:1;296~;4>;0?945228;9<0=z{:?36=4<{<16l2788i4;f09>735=ik1v>:k:180853l3>>563<4d877>;4>=0??6s|84094?4|5:<869;6;<0:e?>53ty38>4?:6y>70?=0;16?9k5509>55`==816;hl5839>71>=0;16=<15=71`=<:16?;;5429~w=342909w0=94;66=>;51h03?6s|34c94?5|5:?j697k;<17b?2a9278:84nb:p71`=839p1>:i:57:?85283>870=96;60?xu?==0;6?u2377900?<5;3j65:4}r16f?6=;r789o4;9e9>706=89:``8yv5283:1?v3<51871<=:;<;18>52375906=z{1?>6=4={<152?2212795l475:p70e=839p1>;l:5;g?85293>m=63<668bf>{t;<;1<7=t=272>13>349>>7:<;<15731=<<301?7m:908yv52l3:1?v3<5e87=a=:;<818k?4=24;>dd>7>52z?017<3=016?8h5429~w=302909w0=98;66=>;51k03?6s|34694?4|5:??697k;<16b?ge3ty38h4?:5y>70`=<<301?77:4389=2d21801?7k:908yv5aj3:1?v3dd53z?0b4<3=016?k<5429>05>=<:1v46?:181827>3>>563<2c8;6>{t;on1<7=t=2dg>1?c349m>7:i1:?74=87p}68383>7}:<9218874=20a>=553z?0b`<31m16?k=54g38916>2hh0q~=i3;297~;4n:0?94523g6906=:<9k18>5rs8:0>5<5s4>;57::9:?06g;38h0jn6s|3g694?5|5:l?69;6;<1e1?2434>;n7:<;|q:<1<72;q68=o544;8964e21?0q~:?0;297~;3890?5i523g790c7<5=:i6ll4}r1e1?6=;r78j84;589>7c0=<:168=m5429~w<>22909w0:?b;66=>;4:j03>6s|96494?1|5=::65<4=2d5>07<588m68?4=6fb>=4<5:>?65<4=01b>=4<50==69ok;|q744<72:q68=?548f896`12=l:70:?c;ca?xu4n?0;6>u23g4900?<5:l<69=4=52g>1552z?74f<3=016??m5829~w1652908w0:?2;6:`>;4n>0?j<5241f9eg=z{:l<6=4<{<1e3?221278j54;3:?74`<3;2wx55950;0x916c2=?270==c;:7?xu38:0;6>u241190;<63a?ge3ty8j54?:2y>7c>=<<301>h6:518916a2=90q~778;296~;38l0?945233a9<0=z{=:?6=4<{<630?2>l278j44;f09>05`=ik1v>h6:18085a13>>563;3990??6s|99;94?4|5=:m69;6;<11`?>53ty?<84?:2y>053=<0n01>hn:5d2?82683ki7p}7}:;ok18874=524>1552z?755<3=016??j5829~w6`d2909w0=ic;6:`>;38>0jn6s|99394?2|5=:<69;6;<11e?3634353ty:h54?:2y>5f0=c783>6}:9j<18874=0ae>15<58o869=4}r:bb?6=:r7:h44;589>=4e=0;1v5h9:18087dn3>m=63>e28bf>;?n>0?5i5rs0ae>5<4s4;hj7::9:?2`5<3;27:i94;3:p6}:9m:18k?4=0g7>dd<51lj697k;|q2`5<72:q6=i>544;894b62=901ei3:1>v3>e5871<=:18214?5rs9da>5<4s4;o=7:i1:?2a0;6l;0??63>e7877>{t0kh1<713>343::76=;|q;ba<72:q6=i<54g3894c12hh015hj:5;g?xu6l;0;6>u21e0900?<58n869=4=0g4>1552z?2a3<3=0165<:5829~w=`a2908w0?k3;6e5>;6m>0jn6360187=a=z{8n86=4<{<3g7?22127:h94;3:?2a=<3;2wx4oj50;0x94c02=?2707>2;:0?xu>880;6>u21e690c7<58o36ll4=821>1?c3ty:h94?:2y>5a2=<<3012=90q~6me;296~;6m10?94529029<1=z{0:86=4<{<3g1?2a927:i44nb:?:41<31m1v>563>d7877>;6mh0??6s|8cd94?4|58o269;6;<;3a?>33ty2<84?:2y>5a0=d783>6}:9m<18874=0f4>15<58oi69=4}r:`4?6=:r7:il4;589>=5e=0=1v4>8:18087c?3>m=63>ec8bf>;>810?5i5rs0f4>5<4s4;o;7::9:?2g2<3;27:hl4;3:p6}:9j=18k?4=0fb>dd<51o>697k;|q2g2<72:q6=n9544;894e?2=901e83:1>v3>d`871<=:1;i1495rs9g5>5<4s4;h47:i1:?2`g;6k00??63>db877>{t0k;1<713>3439m76;;|q;a=<72:q6=n754g3894bd2hh015k6:5;g?xu6k00;6>u21b;900?<58ij69=4=0fg>157>52z?2`f<3=0165?65859~w=cf2908w0?la;6e5>;6lm0jn637ec87=a=z{8ij6=4<{<3`e?22127:oo4;3:?2``<3;2wx4o=50;0x94bc2=?2707=6;:7?xu?mj0;6>u21b`90c7<58nn6ll4=9gg>1?c3ty:oo4?:2y>5fd=<<301>563>ce877>;6m90??6s|8c794?4|58nm69;6;<;16?>33ty3j=4?:2y>5fb=ce83>6}:9jn18874=0af>15<58o:69=4}r:a2?6=:r7:i=4;589>=76=0=1v5h=:18087dm3>m=63>e08bf>;?n:0?5i5rs0af>5<5s4;hi7::9:?2a7<3;2wx4o950;0x94c62=?2707>e;:7?xu?n=0;6?u21d09eg=:0o?184j4}r:a=5g=0=1v5mm:18185483>m=637e287=a=z{:9;6=4={<104?221278?<4;3:p761=838p1>=>:5d2?854?3>2h6s|32394?4|5:9:69;6;<106?243ty3j54?:3y>764=4;f09>76>=<0n0q~=<3;296~;4;:0?9452326906=z{:9j6=4={<100?2a9278?l4;9e9~w6532909w0=<4;66=>;4;<0??6s|32a94?4|5:9>69h>;<10g?2>l2wx?>;50;0x96522=?270=<6;60?xu4;l0;6?u232490c7<5:9n697k;|q073<728=9:57:?8?>?32>7077d;:0?8?6k32?707>a;:7?8?6032?707>6;:7?8?6<32?707>2;:7?8?68328707?e;:0?8?7k328707=e;:0?8?5k328707=a;:0?8?50328707=6;:0?8?5<328707=2;:0?8?58328707>e;:0?8?7i3287p}l8;296~;di3>870k?:518yvef2908w0mn:57:?8ed2=901h?5429~wa3=839p1nm54g389`7=ik16h;4;9e9~wfe=839p1nm544;89fb=<:16i?4;3:p`2<72:q6h:4;9e9>ga<3n816i?4nb:pga<72:q6oi4;589>g`<3;27n?7:<;|qg5<4s4n2697k;1`634o?6ll4}rae>5<4s4im69;6;15<5l?18>5rsec94?5|5mk184j4=e290c7<5l?1mo5rse294?5|5m:18874=e3906=:m?0??6s|dc83>6}:lk0?5i52d087b4=:m?0jn6s|d083>6}:l80?9452d3877>;b?3>87p}kc;297~;ck3>2h63k2;6e5>;b?3ki7p}k2;297~;c:3>>563k3;60?8c?2=90q~jk:1808bc2=3o70j<:5d2?8c?2hh0q~j<:1818b42=?270ji:518yvb32909w0j;:5;g?8ba2hh0q~k6:1818ce2=901<>>:518yv`22908w0km:5d2?87793ki70h9:5;g?xubj3:1?v3jb;66=>;bk3>870??2;60?xua?3:1?v3jc;6e5>;68;0jn63i7;6:`>{tmj0;6>u2eb871<=:mm0??63>02877>{tn10;6>u2ee87b4=:9991mo52f987=a=z{ln1<7=t=df900?<5lo18>52116906=z{o31<7=t=dg90c7<58:?6ll4=g;9013>34om69=4=026>151`634;;97om;1?c3tynj7>53z?fb?22127m<7:<;<332?243tymn7>53z?e4?2a927:<;4nb:?ef?2>l2wxj=4?:2y>b5<3=016j<4;3:?242<3;2wxjn4?:2y>b4<3n816==95ac9>bf<31m1vk?50;1x9c7=<<301k<5429>55>=<:1vkj50;1x9c4=7:``89cb=<0n0q~h=:1808`52=?270h<:518946>2=90q~hj:1808`42=l:70??9;ca?8`b2=3o7p}i3;296~;a;3>>563>01877>{tn=0;6?u21129eg=:n=0?5i5rs2`0>5<4s49i?7::9:?0f0<3;278ol4;3:p=07=838p1>m6:57:?84d93297p}6}:;k?18k?4=2ab>dd<5:i:697k;|q0f0<72:q6?o;544;896d12=901>mm:518yv?2:3:1>v35<4s49i:7::9:?0f=<3;278on4;3:p=05=838p1>mm:57:?84d;3297p}6}:;k218k?4=2a`>dd<5:i8697k;|q0f=<72:q6?o6544;896d>2=901>mk:518yv?2<3:1>v314?5rs2`:>5<4s49i57::9:?0fg<3;278oh4;3:p=03=838p1>mk:57:?84d=3297p}6}:;kh18k?4=2af>dd<5:i?697k;|q0fg<72:q6?ol544;896dd2=901>mi:518yv?2>3:1>v35rs2a6>5<4s49io7:i1:?0gc;4jm0??63{t1<=1<713>348h;76<;|q0g3<72:q6?oj54g3896b72hh01>m9:5;g?xu4jm0;6>u23cf900?<5:hn69=4=2f2>1547>52z?0`5<3=016>n65829~w6e02908w0=me;6e5>;4l80jn63j>:57:?84d13287p}7}:;j21mo523cd90<7>53z?0g=<3=016>n>5829>6g3==81v<7i:18087><3>m=63>a58bf>;6i90?5i5rs0;7>5<4s4;287::9:?2=0<3;27:m84;3:p<3;72?xu61<0;6>u2187900?<583<69=4=0c5>1553z?2e0<3=016?742==81v:18087>?3>m=63>a78bf>;6i;0?5i5rs0;4>5<4s4;2;7::9:?2==<3;27:m:4;3:p<5;72?xu6110;6>u218:900?<583j69=4=0c;>1553z?2e2<3=016???5839>740==81v:h>:18087>i3>m=63>a98bf>;0n;0?5i5rs0;b>5<4s4;2m7::9:?2=g<3;27:m44;3:p7;72?xu0n<0;6>u218`90c7<58k26ll4=6d5>1?c3ty:5o4?:2y>55<4s4;2o7:i1:?2ed4;9e9~w4?d2908w0?6c;66=>;61m0??63>ac877>{t0h81<7=t=0cb>13>3499876<;<12=?363ty:994?:2y>59e83>6}:90n18874=0;f>15<58kh69=4}r:b7?6=;r7:mo4;589>773=0:16?;6ij0jn638fc87=a=z{83n6=4={<3:a?22127:m>4;3:p32870=>b;72?xu0mj0;6?u21`19eg=:?ln184j4}r::f?6=:r7:m>4;589>74b=0:1v>j=:18085c:3>>563;4m00??6s|94`94?4|5:o369;6;<0bf?>53ty8hk4?:2y>7a2=k6:``896c72=3o7p}6}:;m>18874=2f6>15<5:oj69=4}r;6g?6=:r78i44;589>6de=0;1v>j::18085c=3>>563;4mk0??6s|94f94?4|5:oj69;6;<0b`?>53ty8i<4?:2y>7a1=km:``896c52=3o7p}6}:;m=18874=2f;>15<5:oh69=4}r;6a?6=:r78io4;589>6dc=0;1v>j7:18085c03>>563;4mm0??6s|94d94?4|5:oh69;6;<0bb?>53ty8i>4?:2y>7ag=kk:``896c42=3o7p}6}:;mk18874=2fa>15<5:on69=4}r;54?6=:r78ii4;589>6g6=0:1v>k;:18085cj3>m=63;4m=0?5i5rs2fa>5<4s49on7::9:?0`f<3;278ik4;3:p=37=838p1>kj:57:?84e93287p}6}:;mi18k?4=2ge>dd<5:o>697k;|q0`f<72:q6?im544;896bc2=901>h?:518yv?1:3:1>v35rs2g5>5<4s49oh7:i1:?0b5;4m>0??6s|97194?4|5:l;69;6;<0a7?>43ty8hh4?:3y>7`1=ik16?ik548f8yv?2i3:1?v35228d914=z{8h36=4<{<3b`?2a927:ni4nb:?2f<<31m1v>563>ad877>;6jl0??6s|8`494?5|58ho69;6;<0e7?>5348n47;>;|q2e`<72:q6=lk544;894d72=901f?3:1?v3>bd871<=::o>14?522d;914=z{8hj6=4<{<3a4?2a927:nk4nb:?2fg<31m1v>563>b0877>;6k90??6s|8`:94?5|58hm69;6;<0e1?>5348nm7;>;|q2f4<72:q6=o?544;894d42=901:518yv>f13:1?v3>c1871<=::o<14?522d`914=z{>o;6=4<{<3a7?2a927:o<4nb:?4a4<31m1v>563>b5877>;6k;0??6s|8`c94?5|58i:69;6;<0e3?>5348no7;>;|q4a1<72:q6=o:54g3894e52hh01:k::5;g?xu6j=0;6>u21c6900?<58h>69=4=0a0>1553z?2g7<3=016>k65829>6`b==81v<:j:18087e=3>m=63>c28bf>;65<4s4;i97::9:?2f3<3;27:o94;3:pu21c490c7<58i?6ll4=072>1?c3ty:n;4?:2y>5g0=<<3015<4s4;i;7:i1:?2g0;6jj0??6s|8`g94?5|58i>69;6;<0ef?>4348m<7;>;|q4`g<72;q6=om5ac9>3ae=<0n0q~6n5;296~;6jj0?94522g09<6=z{;nm6=4={<0g2?2a9279i=4;9e9~w7b12909w0;5l>0??6s|2d394?4|5;o:697k;<0g3?2a92wx>i950;0x97b02=?270;|q1`=<72;q6>i6544;897b>2=90q~l279ho4;f09~w7be2909w0;5lj0??6s|2ea94?4|5;nh69;6;<0ga?243ty9i94?:3y>6ac=lh65<4=6df>=4<503265<4=6de>=452z?042<31m16>km54g38yv4ak3:1>v3=fb871<=::ol18>5rs22:>5<5s49;57:6d:?1bc<3n81v?hi:18184an3>>563<03877>{t;9h1<71?c349;>7:i1:p754=838p1>>=:57:?857=3>87p}<0e83>7}:;9?18k?4=233>1?c3ty3o54?:5y>753=<<3015>9:9689v3<0987=a=::oo18k?4}r0e`?6=:r79jh4;589>757=<:1v>>n:181857i3>2h63<0087b4=z{::;6=4={<135?221278<94;3:p75e=838p1>>l:5;g?857<3>m=6s|31194?4|5::?69;6;<132?243ty8750=>i:5;g?xu?kh0;6nu2314900?<509865;4=9f1>=3<51n865;4=9f7>=3<51n>65;4=9f5>=3<51n365;4=9f:>=3<51nj65;4=9fa>=3<503:65<4}r0`b?6=:r79ok4;9e9>6fg=l279oo4;f09~w7ee2909w0;5kj0??6s|2e394?4|5;n:697k;<0`g?2a92wx>nm50;0x97ed2=?270nj544;897eb2=90q~5fz?1g`<3=016;:j5859><`6=0=164h?5859><`4=0=164nj5859>13>2h63=9487b4=z{;3>6=4={<0:1?2212795;4;3:p6>3>m=6s|28494?4|5;3=69;6;<0:3?243ty95o4?:3y>60;6?u2285900?<5;3369=4}r0:g?6=:r79554;f09>65285a9<6=:98>14>521049<6=:98=14>5210:9<6=:98314>5210c9<6=:98h14>5210a9<6=:98n14>5210g9<6=:98?14>5rs20a>5<5s499n7:6d:?062<3n81v><8:181855?3>>563<29877>{t;;i1<71?c349947:i1:p77>=838p1><7:57:?85513>87p}<2e83>7}:;;n184j4=20:>1`63ty8>44?:3y>77?=<<301>v3<2`87b4=:;;l184j4}r;4f?6=nr78>l4;589>57g=<:16=>h5429>=2`=0:16=>:5829>560=0:16=>95829>56>=0:16=>75829>56g=0:16=>l5829>56e=0:16=>j5829>56c=0:16=>;5829~w7g>2909w0;51l0?j<5rs3;f>5<5s482i7::9:?1e5<3;2wx>ll50;0x97ge2=3o70{t:h:1<713>348j=7:<;|q1ef<72;q6>lm548f897g62=l:7p}=a083>7}::h;18874=3c1>1552z?1ea<31m16>l<54g38yv4f:3:1>v3=a3871<=::h918>5rs3cf>5<5s48ji7:6d:?1e6<3n81v?o<:18184f;3>>563=a5877>{t:hl1<71?c348j87:i1:p6d2=838p1?o;:57:?84f=3>87p}=b183>7}::k:184j4=3c6>1`63ty9m84?:3y>6d3=<<301?o9:518yv4e93:1>v3=b087=a=::h<18k?4}r0b2?6=:r79m;4;589>6d1=<:1v?l=:18184e:3>2h63=a687b4=z{;k<6=4={<0b3?221279m54;3:p6g5=838p1?l<:5;g?84f03>m=6s|2`:94?4|5;k369;6;<0:b?243ty9ml4?:3y>6dg=<0n01?7i:5d2?xu?k:0;68u228d900?<5>lh65:4=6df>=2<503265=4=6de>=252z?1b4<31m16>h854g38yv4b>3:1>v3=e7871<=::l218>5rs3d0>5<5s48m?7:6d:?1a=<3n81v?k7:18184b03>>563=e8877>{t:o>1<71?c348n57:i1:p6`?=838p1?k6:57:?84bi3>87p}=f483>7}::o?184j4=3gb>1`63ty9il4?:3y>6`g=<<301?km:518yv4a>3:1>v3=f787=a=::lh18k?4}r0ff?6=:r79io4;589>6`e=<:1v?h8:18184a?3>2h63=eb87b4=z{;oh6=4={<0fg?221279ii4;3:p6c>=838p1?h7:5;g?84bl3>m=6s|2df94?4|5;oo69;6;<0fa?243ty9j44?:3y>6c?=<0n01?kj:5d2?xu5ml0;6?u22dg900?<5;om69=4}r0ee?6=:r79jl4;9e9>6``=l279j=4;f09~w7`72909w0;5m>0??6s|2g094?4|5;l9697k;<0f3?2a92wx4n950;7x97c02=?2709ic;:6?81am32>70769;:7?81an32>7p}<1b83>7}:;8i184j4=232>1`63ty8=<4?:3y>747=<<301>?<:518yv56m3:1>v3<1d87=a=:;8918k?4}r127?6=:r78=>4;589>742=<:1v>?i:181856n3>2h63<1587b4=z{:;?6=4={<120?221278=84;3:p776=838p1>m=6s|30794?4|5:;>69;6;<122?243ty8><4?:3y>777=<0n01>?9:5d2?xu49?0;6?u2304900?<5:;<69=4}r116?6=:r78>?4;9e9>741=7;296~;49>0?945230:906=z{:886=4={<117?2>l278=54;f09~w67?2909w0=>8;66=>;4900??6s|33694?4|5:8?697k;<12=?2a92wx?<750;0x967>2=?270=>a;60?xu4:<0;6?u233790;|q05d<72;q6?52z?05g<3=016?<<5429~w67c2909w0=>d;6:`>;49;0?j<5rs9a:>5<3s49:>7::9:?;43oh50;0x97da2=3o70{t:k>1<713>348i:7:<;|q1g4<72;q6>n?548f897d12=l:7p}=b783>7}::k<18874=3`4>157>52z?1g7<31m16>o954g38yv4e?3:1>v3=b6871<=::k218>5rs3a0>5<5s48h?7:6d:?1f=<3n81v?l7:18184e03>>563=b8877>{t:j>1<71?c348i57:i1:p6g?=838p1?l6:57:?84ei3>87p}=c483>7}::j?184j4=3`b>1`63ty9nl4?:3y>6gg=<<301?lm:518yv4d>3:1>v3=c787=a=::kh18k?4}r0af?6=:r79no4;589>6ge=<:1v?m8:18184d?3>2h63=bb87b4=z{;hh6=4={<0ag?221279ni4;3:p6f>=838p1?m7:5;g?84el3>m=6s|2cf94?4|5;ho69;6;<0aa?243ty9o44?:3y>6f?=<0n01?lj:5d2?xu5jl0;6?u22cg900?<5;h>69=4}r0`4?6=:r79o=4;9e9>6g3=534;nh7;>;<07g?>4348;h76=;<036?36348==76<;<003?>53489o7;>;<0;f?>4348472:p5c>=838p1m=6s|1df94?4|58oo69;6;<3fb?243ty=j>4?:ey>5c?=0;16=hh5509>61b=0:16>=k5839>652==816>;<5829>66>=0;16>?k5509>6=e=0:16:kj54`f89=7f21?015<::9189=4a21>0q~?i9;296~;6n00?5i521dd90c752z?2ac<3=016=k>5429~w3`3290nw0?ia;:1?87a83?:70<;e;:0?847n32970jh6371`8;7>;?:<0386372g8;1>;?;903?6s|1gc94?4|58lj697k;<3e4?2a92wx=k>50;0x94`72=?270?i1;60?xu1n<0;6nu21g`9<7=:9o;19<5225d9<6=::8:14?52214914=::?>14>5222c9<7=::::19<5229g9<6=:>ol18lj4=90b>=5<518i65<4}r3ef?6=:r7:jo4;9e9>5c7=534;m>7;>;<064?>4348:=76=;<033?36348=976<;<00f?>53488=7;>;<0;b?>434=;<7:nd:?;6f<3n81v2h63>f387b4=z{8l96=4={<3e6?22127:j>4;3:p2c1=83hp1=63=08865>;5;m03>63=32865>;51803?6380387ea=:0;n14>521gg9<7=::8914?528369<6=::<8149522759<1=:0;o18k?4}r3ea?6=:r7:j94;f09>5cc=<0n0q~?i4;296~;6n=0?94521g7906=z{?l26=4j{<3e1?36348;m7;>;<00a?>5348887;>;<0:6?>434=;?7:nd:?;6a9474:?1162h6s|1g794?4|58l>69;6;<3e2?243ty=jl4?:dy>656=0;16=k85509>602=0:16><;5839>65d==816>;75829>66`=0;16>>;5509>6<5=0:16;=:54`f89=4c21>015<;:9089=4a219015=?:908yv>7l3:1>v3=018;7>;45<5s48;<7:6d:?2b3<3n81v3>>563>f6877>{t>oh1<7lt=322>=4<58l<68?4=335>=4<5;:h68?4=363>=4<5;9=68?4=3;7>=5<5>:>69ok;<:12348>976;;<05e?>33ty3657=0:16?99548f8yv4793:1>v3=0087=a=:9o=18k?4}r3e3?6=:r7:j:4;589>5`c=<:1v5>l:18187bm3>m=6370b87=a=z{1;:6=4={<3fa?221273=o473:p61e=838p1?:l:5;g?84393>m=6s|25394?4|5;>:69;6;<077?243ty98i4?:3y>61b=<0n01?:<:5d2?xu5<:0;6?u2251900?<5;>?69=4}r07a?6=:r798h4;9e9>612=m6=4={<07b?2>l279884;f09~w7222909w0<;5;66=>;59850;0x97212=?270<;7;60?xu0n90;6?u2255914=:?o9184j4}r065?6=:r798:4;f09>607=<0n0q~<;7;296~;5<>0?945225:906=z{>l?6=4={<072h6s|25:94?4|5;>369;6;<07=?243ty61?==816=8<548f8yv42;3:1>v3=4887b4=::<9184j4}r07=?6=:r79844;589>61g=<:1v:h7:180842<32970<;a;72?872<3>2h6s|24694?4|5;??697k;<07e?2a92wx>9o50;0x972f2=?270<;b;60?xu0n00;6?u225`914=:?ok184j4}r061?6=:r798o4;f09>603=<0n0q~<;b;296~;5om6=4={<076?2a927;?9k0386s|21f94?4|5;:o697k;<036?2a92wx>=<50;0x97652=?270;|q141<72;q6>=:544;897622=90q~52z?140<3=016>=85429~w7772909w0<>0;6:`>;58?0?j<5rs325>5<5s48;:7::9:?142<3;2wx>{t:9=1<713>348;47:<;|q157<72;q6>=654g3897752=3o7p}=0983>7}::9218874=32:>1552z?14<<3n816><=548f8yv4713:1>v3=08871<=::9k18>5rs337>5<5s48;m7:i1:?151<31m1v?>n:181847i3>>563=0c877>{t09k1<7=5<5:>9697k;|q150<72;q6><;548f8976e2=l:7p}=0c83>7}::9h18874=32`>1552z?1534;9e9~w7712909w0<>6;6:`>;58j0?j<5rs32`>5<5s48;o7::9:?146<3;2wx4=750;0x97642=l:706?9;6:`>{t0881<713>3429=76<;|q124<72;q6>;?548f897312=l:7p}=5783>7}::<<18874=37;>157>52z?127<31m16>8654g38yv4203:1>v3=59871<=::<318>5rs340>5<5s48=?7:6d:?11<<3n81v?;6:18184213>>563=5`877>{t:?>1<71?c348>m7:i1:p60g=838p1?;n:57:?842j3>87p}=6483>7}::??184j4=37a>1`63ty99o4?:3y>60d=<<301?;l:518yv1cn3:1>v3=5b865>;0m;0?5i5rs345>5<5s48>o7:i1:?123<31m1v?;l:181842k3>>563=5e877>{t?l91<707<5>o?697k;|q122<72;q6>8j54g3897002=3o7p}=5e83>7}::1552z?11`<2927:8h4;9e9~w70?2909w0<:e;6e5>;5>10?5i5rs37f>5<5s48>i7::9:?11c<3;2wx;h950;1x970>21801?;i:43894372=3o7p}=6883>7}::?3184j4=37e>1`63ty99k4?:3y>60`=<<301?8?:518yv1b03:1>v3=61865>;0m00?5i5rs34b>5<5s48=<7:i1:?12d<31m1v?8?:18184183>>563=56877>{t?mo1<71`634=oi7:6d:p<40=838p1?;8:57:?8>593297p}9bc83>3}::;814?52205914=::><14>526b790db<51;o65:4=93f>=57>52z?167<31m16><954g38yv46?3:1>v3=16871<=::8318>5rs7``>5<1s489?76=;<02=?36348<;76<;<4`2?2fl273=i473:?;5`?=50;0x97442=3o70<>9;6e5>{t:831<713>348:m7:<;|q5fa<72>q6>?:5839>64g==816>:65829>2f1=v3=2587=a=::8k18k?4}r02e?6=:r79=l4;589>64d=<:1v;lj:184845=32970<>b;72?8401328708l8;6b`>;?:90386371e8;6>;?9l03>6s|23794?4|5;8>697k;<02f?2a92wx>c;60?xu1jo0;6;u22349<7=::8i19<5226c9<6=:>j318lj4=903>=5<51;m69h>;|q163<72;q6>?8548f8977d2=l:7p}=1b83>7}::8i18874=33g>1556z?162<76=0;164;59m0?j<5rs33g>5<5s48:h7::9:?15`<3;2wx:n?50;4x974?21801??j:438971d21901;mm:5cg?8>5:328706=3;:6?xu5:10;6?u223:90;|q15`<72;q6>63=1g865>;5?m03?639cb87ea=:0;<1495rs30:>5<5s48957:6d:?15c<3n81v??i:181846n3>>563=21877>{t>j91<7;t=30b>=4<5;8;68?4=35f>=5<5?io69ok;<:12?>23ty9>l4?:3y>67g=<0n01?r79>o472:?164<29279;k473:?5g`<3im164?<5839><75=0=1v?2h63=2087b4=z{;8:6=4={<015?221279=54;3:p=13=838p1??7:5d2?8?3>3>2h6s|80194?4|5;;369;6;<:13?>53ty9;;4?:3y>620=<0n01?8m:5d2?xu5>k0;6?u227`900?<5;63b=m0?945227g906=z{;=36=4={<04l279:h4;f09~w70b2909w0<9e;66=>;5>o0??6s|26;94?4|5;=2697k;<05b?2a92wx>;h50;0x970a2=?270<80;60?xu5?h0;6?u226c90;|q135<72;q6>:>544;897162=90q~<8b;296~;5?k0?5i5226390c752z?134<3=016>:<5429~w71d2909w0<8c;6:`>;5?;0?j<5rs351>5<5s48<>7::9:?136<3;2wx>:j50;0x971c2=3o70<83;6e5>{t:>91<713>348<87:<;|q13`<72;q6>:k548f897132=l:7p}=7583>7}::>>18874=356>1552z?13c<31m16>:;54g38yv40=3:1>v3=74871<=::?i18>5rs9;3>5<5s48=o7:i1:?;=4<31m1v5?8:181841k3>>5637268;0>{t::=1<71?c3489o7:i1:p67e=838p1?87p}=3983>7}:::2184j4=30f>1`63ty9>h4?:3y>67c=<<301?v3=3887=a=::;l18k?4}r01b?6=:r79>k4;589>666=<:1v?=n:181844i3>2h63=3187b4=z{;9;6=4={<004?221279?<4;3:p66d=838p1?=m:5;g?84493>m=6s|22394?4|5;9:69;6;<006?243ty9?n4?:3y>66e=<0n01?==:5d2?xu5;;0;6?u2220900?<5;9869=4}r00`?6=:r79?i4;9e9>665=l279?94;f09~w7532909w0<<4;66=>;5;<0??6s|22d94?4|5;9m697k;<001?2a92wx>>;50;0x97522=?270<<6;60?xu5<90;6?u225290;|q173<72;q6>>8544;8974c2=90q~7<9;296~;5:m0?j<5292c9052z?16a<3=0164?95829~w7>e2909w0<7b;6:`>;5090?j<5rs3:3>5<5s483<7::9:?1<7<3;2wx>5m50;0x97>d2=3o70<72;6e5>{t:181<713>3483?7:<;|q15j548f897>42=l:7p}=8283>7}::1918874=3:7>1552z?1<`<31m16>5:54g38yv4?<3:1>v3=85871<=::1?18>5rs3:e>5<5s483j7:6d:?1<0<3n81v?6::18184?=3>>563=87877>{t:0:1<71?c3483:7:i1:p6=0=838p1?69:57:?84??3>87p}=9083>7}::0;184j4=3:4>1`63ty94:4?:3y>6=1=<<301?67:518yv4>:3:1>v3=9387=a=::1218k?4}r0;6=?=<:1v?7<:18184>;3>2h63=8887b4=z{;226=4={<0;=?2212794l4;3:p6<2=838p1?7;:5;g?84?i3>m=6s|29c94?4|5;2j69;6;<0;5?243ty3494?:3y>6=7=l4;f09>56`=ik16=>:548f8yv75i3:1?v3>2`871<=:9;h18>52153906=z{89=6=4<{<31f?2a927:8<4nb:?273<31m1v<>563>2b877>;6<;0??6s|12594?5|588h69h>;<376?ge34;8;7:6d:p57e=839p1<870?;3;60?xu6;10;6>u213f90c7<58>86ll4=01;>1?c3ty:>i4?:2y>57b=<<301<h4;589>57`=<:16=9;5429~w45f2908w0?=f;6e5>;6<<0jn63>3`87=a=z{88m6=4<{<31b?22127:?=4;3:?203<3;2wx=>l50;1x94572=l:70?;6;ca?874j3>2h6s|12294?5|589;69;6;<305?2434;?;7:<;|q27f<72:q6=>?54g3894202hh01<=l:5;g?xu6;80;6>u2123900?<589969=4=06;>1553z?277<3n816=965ac9>56b=<0n0q~?<2;297~;6;;0?9452121906=:9=318>5rs01f>5<4s4;8?7:i1:?20<;6<90??6s|12794?4|58>;6ll4=016>1?c3ty:=94?:2y>55g=0`83>6}:99k18874=02a>15<588:69=4}r322?6=;r7:577=ik16=<8548f8yv77j3:1?v3>0c871<=:99i18>52130906=z{8;<6=4<{<33g?2a927:>?4nb:?252<31m1v<>l:180877k3>>563>0e877>;6::0??6s|10:94?5|58:o69h>;<317?ge34;:47:6d:p55b=839p1<>k:57:?877m3>870?=4;60?xu6900;6>u211g90c7<588?6ll4=03:>1?c3ty:55c=<<301<>i:51894422=90q~?>a;297~;68o0?j<521379eg=:98k184j4}r33b?6=;r7:546=<:16=?85429~w47e2908w0?>0;6e5>;6:?0jn63>1c87=a=z{8;;6=4<{<324?22127:=<4;3:?262<3;2wx=2h6s|10394?5|58;:69;6;<326?2434;947:<;|q25a<72:q6=<<54g38944?2hh01u2100900?<58;869=4=00:>1553z?256<3n816=?75ac9>54c=<0n0q~?>3;296~;69:0?9452132906=z{8;>6=4={<314?ge34;:97:6d:p<4?=838p15??:5d89=4?2=3o7p}9b983>7}:08:188526c:90db52z?;55<3im16:4h54g9~w05b2909w0;<4;60?834m3>>56s|52d94?4|5<9?69h>;<70b?2>l2wx9n850;3a834<3>>563:c4876>;2j=03?63:b38;7>;2j903?63:ad8;7>;2ij03?63:a98;7>;2i?03?63:a58;7>;2i;03?63:a18;7>;21l03?63:9b8;7>;21h03?63:998;7>;21?03?63:c58;7>;2k;03?63:c18;7>;2jl03?63:bb8;7>;2jh03?63:b98;7>;2j?03?63:a`8;7>;29103?6s|54;94?5|5<9m65<4=450>1gc34?i>76=;|q61d<72:q69>h5829>122=v3:3d877>;2;m0?945rs464>5<5s4?8i7:i1:?602<31m1v8;;:180833?32970;9e;6b`>;2i103>6s|54494?5|5<><65=4=453>1gc34?jo76=;|q612<72:q69995859>127=;2?;0?mi525c29<7=z{<9h6=4={<70`?2434?8o7::9:p110=838p18=k:5d2?833>3>2h6s|54294?5|5<>=65<4=44b>1gc34?j<76=;|q614<72:q69985829>13d=;2>j0?mi525`69<7=z{234?=h7:nd:?6e3l50;0x905d2=9018=m:57:?xu2<<0;6?u252a90c7<5<>>697k;|q60`<72:q699;5839>13>=;2>00?mi5258g9<7=z{<>o6=4;{<70f?3634??876=;<753?2fl27>5l472:p16g=838p18=m:518905f2=?27p}:4583>7}:=:h18k?4=467>1?c3ty>8n4?:5y>16g==81699=5839>130=v3:3`877>;2;00?945rs460>5<5s4?8m7:i1:?606<31m1v8=7:18183413>870;<8;66=>{t==81<71`634??>7:6d:p134=839p18:=:908901e2=ko70;l4;:1?xu2u25509<6=:=??18lj4=4;5>=452z?67=<3;27>?;4;589~w0262909w0;<8;6e5>;2<80?5i5rs47f>5<4s4??=76=;<743?2fl27>nn472:p10`=839p18:>:918901?2=ko70;me;:1?xu2>90;6>u25539<1=:=>318lj4=4a3>=453z?604;l4;ae9>1f4=0;1v8=::181834>3>870;<5;66=>{t=1`634?<:7:nd:?6fd<50;0x90522=9018==:57:?xu2<90;6?u252790c7<5<>;697k;|q61g<72:q699>5839>13`=;2?<0?mi525c:9<7=z{6=4<{<706?2a927>:94;ae9>1dg=0;1v8l<:18183513ki70;m4;6:`>{t=9l1<715<5<:m69;6;|q6>54;3:?64c<3;27>e2909w0;=8;66=>;2?:0?j6s|5`d94?5|5<8<6ll4=42f>1`634?i<7:6d:p15b=839p18<8:518906b2=9018>k:57:?xu20h0;6?u2535900?<5<=969h4}r7b`?6=;r7>>;4nb:?64a<3n8169lk548f8yv37k3:1?v3:27877>;28m0??63:0b871<=z{<226=4={<712?22127>;<4;f:p1dd=839p18<::``8906d2=l:70;nc;6:`>{t=9k1<7=t=406>15<5<:h69=4=42b>13>3ty>454?:3y>173=<<30189?:5d8yv3f?3:1?v3:228bf>;28h0?j<525`:9053z?666<3;27>>563:6d87b>{t=h?1<7=t=401>dd<5<:269h>;<7b2?2>l2wx9=650;1x90452=9018>6:518906?2=?27p}:8483>7}:=;818874=44g>1`53z?664<54;f09>1d2=<0n0q~;?7;297~;2:80??63:09877>;28>0?945rs4:7>5<5s4?9=7::9:?62f<3n2wx9l?50;1x90472hh018>8:5d2?83f:3>2h6s|51494?5|5<8;69=4=424>15<5<:=69;6;|q6<6<72;q69?>544;8900e2=l0q~;6f;297~;29o0jn63:0787b4=:=h:184j4}r731?6=;r7>=k4;3:?643<3;27><84;589~w0>52909w0;>f;66=>;2>h0?j6s|58f94?5|5<;n6ll4=426>1`634?2i7:6d:p152=839p18?j:51890622=9018>;:57:?xu2080;6?u250g900?<5<<269h4}r7:f?6=;r7>=i4nb:?641<3n81694m548f8yv37;3:1?v3:1e877>;28=0??63:02871<=z{<2;6=4={<72`?22127>:54;f:p1{t=981<7=t=43`>15<5<:869=4=421>13>3ty>;k4?:3y>14e=<<301888:5d8yv3>?3:1?v3:1c8bf>;28;0?j<5258:9053z?65g<3;27>>563:6787b>{t=0?1<7=t=43b>dd<5<::69h>;<7:2?2>l2wx9<950;1x907f2=9018>>:51890702=?27p}:7e83>7}:=8k18874=446>1`53z?674=:4;f09>1f2=<0n0q~;>6;297~;2;80??63:16877>;29?0?945rs4;7>5<5s4?8=7::9:?63g<3n2wx9n?50;1x90572hh018?9:5d2?83d:3>2h6s|50794?5|5<9;69=4=435>15<5<;>69;6;|q6=6<72;q69>>544;8901f2=l0q~;mf;297~;2:o0jn63:1487b4=:=j:184j4}r720?6=;r7>>k4;3:?650<3;27>=94;589~w0?52909w0;=f;66=>;2?00?j6s|5cf94?5|5<8n6ll4=437>1`634?ii7:6d:p145=839p18>i4nb:?656<3n8169om548f8yv36:3:1?v3:2e877>;29:0??63:13871<=z{<3;6=4={<71`?22127>;:4;f:p1g?=839p18{t=8;1<7=t=40`>15<5<;969=4=432>13>3ty>4k4?:3y>17e=<<301899:5d8yv3e?3:1?v3:2c8bf>;2980?j<525c:9053z?66g<3;27>=<4;3:?655<3=01v86j:181835j3>>563:7487b>{t=k?1<7=t=40b>dd<5<;;69h>;<7a2?2>l2wx9=l50;1x904f2=9018??:518906e2=?27p}:8e83>7}:=;k18874=44e>1`53z?6611dg=<0n0q~;?0;297~;2:=0??63:0c877>;2890?945rs4:4>5<5s4?987::9:?621<3n2wx9<650;1x907>2hh018>?:5d2?83603>2h6s|56a94?4|5<;269;6;<757?2a3ty>o84?:2y>1f3=;2?:0?963:73871>;2?80?963:71871>;2>l0?963:6e871>;2>j0?963:6c871>;2>h0?963:68871>;2>10?963:66871>;2>?0?963:64871>;2?k0?963:7`871>;2?00?963:79871>;2?>0?963:77871>;2?<0?963:6g871>;2>=0?963:62871>;0:j0?96382c871>;0:h0?963828871>;0:10?963826871>;0:?0?963824871>;0:=0?963822871>;0<90?96383g871>;0;l0?96383e871>;0;j0?96383c871>;0;h0?963838871>;0;10?963836871>;08<0?963805871>;08:0?963803871>;0880?963801871>;1no0?9639fd871>;1nm0?9639fb871>;1kl0?9639ce871>;1kj0?9639cc871>;1kh0?9639c8871>;1k10?9639c6871>;1k?0?9639c4871>;1j=0?96399g871>;2l;0?963:d`871>;1j10?96375c872>{t==k1<71gc34?:476=;|q45=<72;q6:2:m6=4={<42g?22127<<84;f:p341=839p1;?m:``8936a2=l:70868;66=>{t>9n1<7=t=73a>15<5?:m69=4=72g>13>3ty<24d=<<301:>;:5d8yv16>3:1?v391`8bf>;18m0?j<52685900?53z?55d<3;27=k:181806i3>>56380287b>{t?8?1<7=t=73:>dd<5?:i69h>;<4:2?2212wx:=750;1x937>2=901;>m:518936>2=?27p}80b83>7}:>8318874=621>1`53z?55=2<3=<<30q~8?7;297~;1910??63908877>;18>0?945rs62a>5<5s4<:47::9:?444<3n2wx;<=50;1x93702hh01;>8:5d2?80><3>>56s|61794?5|5?;<69=4=724>15<5?:>69;6;|q44d<72;q6:<9544;892672=l0q~9>2;297~;19?0jn6390487b4=:>0918874}r437?6=;r7==;4;3:?540<3;27=<>4;589~w26>2909w08>6;66=>;1no0?j6s|70394?5|5?;>6ll4=720>1`634<2>7::9:p257=839p1;?::51893642=901;>>:57:?xu0810;6?u2607900?<5?ln69h4}r524?6=;r7==94nb:?544<3n816:4?544;8yv0783:1?v3915877>;1880??63901871<=z{>:<6=4={<420?22127=ji4;f:p247=839p1;?<:``893672=l:708>2;6:`>{t?9<1<713>3422>=<<30q~;i2;296~;2no0??63:f3871<=z{?n36=4={<7eb?22127=oh4;f:p2`6=839p18hj:``890`52=l:70887;66=>{t=o:1<7=t=4df>15<513>3ty=h:4?:3y>1cc=<<301;mk:5d8yv0cn3:1?v3:fe8bf>;2n90?j<52664900?53z?6ba<3;27>j=4;3:?6a`<3=01v;j9:18183al3>>5639cb87b>{t>mo1<7=t=4d`>dd<5;<441?2212wx9hm50;1x90`d2=9018kj:51890cd2=?27p}9d483>7}:=oi18874=7aa>1`53z?6bgin4;f09>222=<<30q~;ja;297~;2nk0??63:eb877>;2mh0?945rs7f7>5<5s4?mn7::9:?5gd<3n2wx:im50;1x90`f2hh018kn:5d2?800;3>>56s|5d:94?5|515<52=l0q~8kb;297~;2n00jn63:e987b4=:>>818874}r7f2?6=;r7>j44;3:?6a=<3;27>i;4;589~w3b52909w0;i9;66=>;1k10?j6s|6ec94?5|51`634<<=7::9:p1`2=839p18h7:51890c12=9018k;:57:?xu1l80;6?u25g:900?<5?i<69h4}r4g=?6=;r7>j:4nb:?6a1<3n816::>544;8yv3b;3:1?v3:f6877>;2m=0??63:e2871<=z{?n;6=4={<7e3?22127=o;4;f:p1c2=839p18h9:``890c42=l:70;i5;6:`>{t>jl1<713>34316=m=6s|65c94?4|5??<69=4=76b>13>3ty=n?4?:3y>201=<<301::?:5d8yv14=3:1;v39578bf>;1=5<5=453z?513<3;27=8l4;3:?50=<3=01v;l?:181802>3>>56383g87b>{t?:>1<79t=776>dd<5?>369h>;<50a?2fl27=i5473:?5aah4473:?57}:>1`57z?51136b=f2180q~8;4;297~;1==0??63947877>;1<=0?945rs7c`>5<5s4<>87::9:?47a<3n2wx;><50;:x93342hh01;:;:5d2?80>=3ki7088e;6e5>;0;j0?mi526dc9<7=:>o;14>525e:9<7=z{?>96=4<{<467?2434=818k?4=61a>1gc34?o;76=;<4fe?>434<3476=;<4e4?2a92wx:9>50;1x93352=901;:=:51893272=?27p}9a983>7}:><818874=61a>1`59z?51436g=;1;l0?945rs7c5>5<5s4<>=7::9:?47d<3n2wx;?h50;5x93372hh01;=j:5d2?81413>jh63:d68;1>;1mh038639858;6>;1mo0396s|62a94?5|5??;69=4=71f>15<5?9h69;6;|q5e1<72;q6:8>544;8925>2=l0q~9=e;29e~;10;1mo5266;90c7<5>9369ok;<7g3?>43423433ty=?o4?:2y>21`=<:16:>m5429>26d=<<30q~8n2;296~;1h6=4<{<47a?ge34<8n7:i1:?50a<31m1v;o?:181803m3>>56383687b>{t?;81<79t=71:>dd<5>8h69ok;<4f2?>53433453ty=>n4?:3y>26?=<:16:?m544;8yv0e;3:1>v3938871<=:?;i18k5rs602>5o4;ae9>313=0=16:hm5859>1ac=0:16:h75859>23b=0;1v;8708=c;60?805i3>>56s|6c394?4|5?9369;6;<51f?2a3ty<>=4?:9y>261=ik16:?o54g3893112hh01;8>:5d2?815i3>jh639e78;0>;1mj03>63:dd8;6>{t>;21<7=t=714>15<5?8j69=4=70;>13>3ty=mk4?:3y>261=<<301:;1:10?j<5273;90db<5?o=65=4=7ga>=4<5?=5<5;4;589~w3gc2909w08<6;66=>;0:00?j6s|70g94??|5?9>6ll4=705>1`634=947:nd:?6`ai<474:p272=839p1;=::51893412=901;<;:57:?xu1ik0;6?u2627900?<5>8369h4}r52`?6=1r7=?94nb:?561<3n816::=5ac9>20b=u2626906=:>;>18>52630900?52z?571<3=016;?954g9~w27d290m=6382787ea=:=mi14?526d19<1=:>l=148526759<7=z{?8;6=4<{<407?2434<9>7:<;<414?2212wx:l950;0x93542=?2709=6;6e?xu09k0;6:u26209eg=:>;:18k?4=606>1gc34?oo76<;<4f7?>23453ty==h4?:2y>264=<:16:?>5429>24c=<<30q~8n5;296~;1;;0?945273790c=z{>;j6=48{<405?ge34<:i7:i1:?535372=d;297~;1;80??6391d877>;19m0?945rs7c0>5<5s4<8=7::9:?461<3n2wx:?k50;1x93572hh01;?k:5d2?805n3>2h6s|6`394?4|5?9;69;6;<517?2a3ty=4k4?:3y>2548f8yv0?:3:1>v3998877>;10;0?945rs7:g>5<4s4<247om;<4;6?2a927=4h4;9e9~w3>62908w0868;60?80?:3>870871;66=>{t>1h1<7=t=7;4>dd<5?2:69h>;<4;g?2>l2wx:5>50;1x93?02=901;6>:51893>72=?27p}98883>6}:>0<1mo5269290c7<5?2j697k;|q53`<72:q6:485429>2=6=<:16::k544;8yv00l3:1?v3994877>;1?l0??6397e871<=z{?2<6=4<{<4:0?ge34<<3>87088d;60?800k3>>56s|69794?5|5?386ll4=75`>1`634<3:7:6d:p22d=839p1;7<:518931d2=901;9m:57:?xu10:0;6>u26809eg=:>>h18k?4=7:7>1?c3ty=;44?:2y>2<4=<:16::l5429>22?=<<30q~89e;296~;1?10jn6396g87=a=z{?<86=4={<44{t>?;1<7=t=754>15<5?<869=4=742>13>3ty=:=4?:2y>220=<:16:;?5429>236=<<30q~89a;297~;1?<0jn6396187b4=:>?h184j4}r46b?6=;r7=;84;3:?525<3;27=9k4;589~w30?2908w0884;ca?802n3>m=6396887=a=z{??o6=4<{<440?2434<>j7:<;<46`?2212wx:8m50;1x93142=901;;k:518933d2=?27p}96783>6}:>>81mo5264a90c7<5?<<697k;|q51g<72:q6::<5429>20e=<:16:8l544;8yv01<3:1?v39708bf>;1=k0?j<526779057>53z?534<3;27=9o4;3:?51<<3=01v::;:1821~;0:j0?m6382c87e>;0:h0?m6382887e>;0:10?m6382687e>;0:?0?m6382487e>;0:=0?m6382287e>;0<90?>6383g876>;0;l0?>6383e876>;0;j0?>6383c876>;0;h0?>63838876>;0;10?>63836876>;0<<0?5i5rs63:>5<2s4=9?7:nd:?6`a473:?6b0k472:p317=83hp1::?:5c8925a2=k01:=j:5c8925c2=k01:=l:5c8925e2=k01:=n:5c8925>2=k01:=7:5c892502=k01::<:57:?xu0:m0;6:u272590db<5=4<5?>o65<4=661>=2<5?h=65:4=7ge>=57>514y>353=<;16;=:5439>355=<;16;=<5439>357=<;16;=>5439>2c`=<;16:kk5439>2cb=<;16:km5439>2fc=<;16:nj5439>2fe=<;16:nl5439>2fg=<;16:n75439>2f>=<;16:n95439>2f0=<;16:n;5439>2`3=<0n0q~8m5;296~;1j=0?m639b6871<=z{?h?6=4={<4a0?2fl27<85471:p2g?=839p1;7i:5c893d?2=k01;ln:5;g?xu11l0;64u268d90db<5=i965?4=5a2>=7<5=i;65?4=5`e>=7<5=hn65?4=5`g>=7<5=hh65?4=5`a>=752z?6`7<3i27>h54;9e9~w0b42909w0;k2;6b`>;?=j0>h>5rs4fa>5<5s4?om7:n;<7ga?2>l2wx9io50;0x90bf2=ko706?:938yv2493:1>v372e87=a=:08k14?5rs93b>5<5s42:m7:6d:?;6d50;0x9=472=3o706=2;:7?xu?:80;6?u283390?4;9e9><75=0;1v5?m:1818>5;328706>b;6:`>{t0;91<71?c3429:76<;|q6`2<72;q69i9548f890b?2190q~86b;297~;0<<03>639e787=a=:>l314>5rs7;b>5<3s4=?976<;<7gg?2>l27>i=474:?6a4650;0x90bc2=3o70;kc;:6?xu3<:0;6?u26dc902`2=0:16;9<5829>2g0=0;1v;7k:18080b;3>2h639ec8;0>;2m;0?j<5rs7g4>5<5s4u26da9065;4=7`b>=552z?5aa<31m16:k?5839~w3cb2909w08i1;:7?80a83>>56s|6g394?5|5?l:697k;<4f1?>334;14>5277d9<6=:??n14>5292190=5<5:9<65=4=9d4>=5<51l265=4=9db>=5<51lh65=4=9df>=5<50:;65=4=821>=5<50:?65=4=21;>=5<5:9j65=4=21`>=5<5:9n65=4=9d0>=5<51l>65:4=813>=57>5bz?;4=k473:?;a<475:?;b0=<7=0=1v4==:1818?5n32>707<0;6:`>{t?oo1<7mt=652>=2<5>=2<50:?65:4=21b>=2<5:9h65:4=21f>=2<50:=65:4=82;>=2<51o>65:4=9g4>=2<5>lm697k;|q:e7<728=p1:9>:978920a21?01:8k:9789=c421?0121?015hn:9789=`d21?015hj:9789<6721?014>=:9789<6321?01>=7:978965f21?01>=l:978965b21?014>9:9789<6?21?015k::9789=c021?014o;:5;g?xu>1?0;6nu29219<1=:10:14>528e09<6=:0m914>528e69<6=:0m?14>528e49<6=:0m214>528e;9<6=:0mk14>528e`9<6=:1:>184j4}r5b3?6=:r7<;o475:?4e0<31m1v:9m:18e810j3>2h6387e8;1>;?kj039637d68;1>;?ll039637dg8;1>;?m9039637e08;1>;?m;039637ce8;1>;?kl039637cg8;1>;?l9039637d08;1>;?lj0396s|76a94?`|5>=h697k;<54`?>4342ho76<;<:g3?>4342oi76<;<:gb?>4342n<76<;<:f5?>4342n>76<;<:``?>4342hi76<;<:`b?>4342o<76<;<:g5?>4342oo76<;|q4a`<72?q6;ko5829>3`d=<0n01:hm:91892cc21>01:ki:91897322190q~:>a;297~;4<<0?5i523cd9<0=:09i1485rs6fg>5<1s4=n576<;<5ge?2>l277p};3e83>6}:10214?5298a9<6=:10>184j4}r;:=<6=0=1v46i:1818??n3>2h636918;6>{t10h1<7=3<5032697k;|q:=5<72;q654>548f895<4s49?87:6d:?0063c<3n>1U;k5rs664>5<4s4=?47::9:?402<3n>1U;994}r6b=?6=;r7?ml4;589>0d?==839p19m>:57:?82e03>m;6P;b99~w1d02908w0:l0;66=>;3j>0?j:5Q4c58yv2e>3:1?v3;bg871<=:u24cg900?<5=h>69h8;_6a1>{t1<7=t=5`g>13>34>i87:i7:\7f1=z{=h86=4<{<6ag?22127?n>4;f69]0g5i>7>53z?7fg<3=0168o<54g58Z1d53tyj>7>53z?be?22127j>7:i7:\b6>{ti90;6>u2a8871<=:i90?j:5Qa19~w<`=839p1l6544;89<`=5<4s4k<69;6;<;f>1`03W3n7p}6d;297~;f>3>>5636d;6e3>X>l2wx5n4?:2y>e0<3=0165n4;f69]=f=z{0h1<7=t=`6900?<50h18k94^8`8yv?f2908w0o<:57:?8?f2=l<7S7n;|q76c<72;q6?>7548f892>32180q~:<0;296~;4;k0?5i527959<7=z{=996=4={<10`?2>l27<4l472:p062=838p1>=i:5;g?81?l3297p}69283>7}:10=1495298390897>54z?4bf3cc=0:16;kh5829~w1512908w06j3;:1?87c0329706lc;6:`>{t?on1<7mt=9g0>=2<58n365:4=214>=2<51l<65:4=9d:>=2<51lj65:4=9d`>=2<51ln65:4=823>=2<50:965:4=21;>=2<5>ln697k;|q772<72:q6?>95839>;?nh03>637dd87=a=z{=9j6=4={<:eg?>5342oj7:6d:p06d=838p15hj:9089=c72=3o7p};3b83>7}:19:14?528d3908i7>52z?:47dl3>2h6s|45294?4|5:9365<4=9af>1?c3ty?8<4?:3y>76g=0;164nh548f8yv23:3:1>v3<3b8;6>;?l90?5i5rs567>5<5s498i76=;<:g5?2>l2wx89;50;0x9=662=3o7076c;:6?xu?8=0;6?u298a9<1=:098184j4}r673?6=:r725h472:?:=a<31m1v4o>:1818?>m32>7076f;6:`>{t10o1<7:t=8;f>1?c342;:76:;<;b6?>4343j876<;|q4<5<72;q64nm5839>32c=<0n0q~965;296~;?l>03>6389287=a=z{>336=4={<:ga?>534=2:7:6d:p32=3o7p}89d83>7}:0l:14?5278a9052z?;a42h6s|79194?4|51io65<4=6:2>1?c3ty<4;4?:3y>v37cg8;6>;00>0?5i5rs6:`>5<5s42o<76=;<5;e?2>l2wx;5h50;0x9=b621801:6k:5;g?xu3=<0;6?u29149<7=:0m8184j4}r662?6=:r72<5472:?;`6<31m1v9?=:1818>b=329706k4;6:`>{t<891<7=4<51n>697k;|q751<72;q64h75839>5;296~;?mk03>637d987=a=z{=;=6=4={<:f`?>5342o57:6d:p041=838p15ki:9089=bf2=3o7p};1983>7}:0o;14?528e`90:57>5az?;`7474:?;`1ck3>2h6s|78094?4|51nh65<4=6;3>1?c3ty?=i4?:3y>;1m00?5i526cc9<1=z{=;n6=4={<7g=?2>l27>h5475:p076=838p18jj:97890c52=?27p};2083>7}:0;?184j4=907>=352z?;61<31m164?o5859~w1452909w06>b;:6?8>6n3>>56s|43194?4|518<697k;<:12?>53ty3>;4?:3y><70=<0n015<7:908yv25<3:1>v37298;7>;?:j0?945rs5794?5|5=314<524487b==Y<<1v9750;0x91?=<<3015;m:5;8yv25=3:1>v372d8;6>;?:o0?5i5rs505>5<5s429i76<;<:04?2>l2wx4?k50;0x9=4b2=?2706=b;:0?xu0<;0;6?u275090>865=4}r4a2?6=:r7=n;4;9e9>2g1=0;1v;ki:18180bn3>2h639f18;6>{t=ml1<71?c34?n>76<;|q6a5<72;q69h?548f890c52180q~6=9;296~;?:h0?5i5283a9<6=z{18j6=4={<:1f?2>l273>n472:p<4e=838p15?k:5;g?8>6n3287p}71e83>7}:08o184j4=93e>=4>a;296~h0>90:7p`;00`94?7|f><;6?5rn522g?6=9rd<:=4<;|l744b=83;pb:8?:59~j166m3:1=v`86186?xh388l1<7?tn643>3=zf=:9<7>51zl425<03td?=2;295~h0>9027p`;03194?7|f><;6l5rn5210?6=9rd<:=4m;|l7473=83;pb:8?:b9~j165>3:1=v`8618g?xh38;=1<7?tn643>`=zf=:947>51zl4254}i??:1=<5rn521f?6=9rd<:=4>2:m054d290:wc990;30?xh38;n1<7?tn643>42;>h4?:0ym336=9<1vb9>=f;295~h0>90::6sa4113>5<6sg==<7?8;|l7467=83;pb:8?:0:8yk27;;0;651zl425<6i2we8==;:182k1183;i7p`;02794?7|f><;651e9~j164?3:1=v`86182a>{i<9936=4>{o554?7a3td?<>750;3xj2072;:0qc:?3`83>4}i??:1><5rn520f?6=9rd<:=4=2:m055d290:wc990;00?xh38:n1<7?tn643>72;?h4?:0ym336=:<1vb9>909:6sa4163>5<6sg==<7<8;|l7417=83;pb:8?:3:8yk27<;0;651zl425<5i2we8=:;:182k11838i7p`;05794?7|f><;6?m4}o6303<728qe;;>52e9~j163?3:1=v`86181a>{i<9>36=4>{o554?4a3td?<9750;3xj2072::0qc:?4`83>4}i??:1?<5rn527f?6=9rd<:=4<2:m052d290:wc990;10?xh38=n1<7?tn643>62;8h4?:0ym336=;<1vb9>;f;295~h0>908:6sa4173>5<6sg==<7=8;|l7407=83;pb:8?:2:8yk27=;0;6?7>51zl425<4i2we8=;;:182k11839i7p`;04794?7|f><;6>m4}o6313<728qe;;>53e9~j162?3:1=v`86180a>{i<9?36=4>{o554?5a3td?<8750;3xj2072=:0qc:?5`83>4}i??:18<5rn526f?6=9rd<:=4;2:m053d290:wc990;60?xh3812;9h4?:0ym336=<<1vb9>:f;295~h0>90?:6sa4143>5<6sg==<7:8;|l7437=83;pb:8?:5:8yk27>;0;651zl425<3i2we8=8;:182k1183>i7p`;07794?7|f><;69m4}o6323<728qe;;>54e9~j161?3:1=v`86187a>{i<9<36=4>{o554?2a3td?<;750;3xj2072<:0qc:?6`83>4}i??:19<5rn525f?6=9rd<:=4:2:m050d290:wc990;70?xh38?n1<7?tn643>02;:h4?:0ym336==<1vb9>9f;295~h0>90>:6sa4153>5<6sg==<7;8;|l7427=83;pb:8?:4:8yk27?;0;651zl425<2i2we8=9;:182k1183?i7p`;06794?7|f><;68m4}o6333<728qe;;>55e9~j160?3:1=v`86186a>{i<9=36=4>{o554?3a3td?<:750;3xj2072?:0qc:?7`83>4}i??:1:<5rn524f?6=9rd<:=492:m051d290:wc990;40?xh38>n1<7?tn643>32;;h4?:0ym336=><1vb9>8f;295~h0>90=:6sa41:3>5<6sg==<788;|l74=7=83;pb:8?:7:8yk270;0;651zl425<1i2we8=6;:182k1183<;6;m4}o63<3<728qe;;>56e9~j16??3:1=v`86185a>{i<9236=4>{o554?0a3td?<5750;3xj2072>:0qc:?8`83>4}i??:1;<5rn52;f?6=9rd<:=482:m05>d290:wc990;50?xh381n1<7?tn643>22;4h4?:0ym336=?<1vb9>7f;295~h0>90<:6sa41;3>5<6sg==<798;|l74<7=83;pb:8?:6:8yk271;0;651zl425<0i2we8=7;:182k1183=i7p`;08794?7|f><;6:m4}o63=3<728qe;;>57e9~j16>?3:1=v`86184a>{i<9336=4>{o554?1a3td?<4750;3xj20721:0qc:?9`83>4}i??:14<5rn52:f?6=9rd<:=472:m05?d290:wc990;:0?xh380n1<7?tn643>=2;5h4?:0ym336=0<1vb9>6f;295~h0>903:6sa41c3>5<6sg==<768;|l74d7=83;pb:8?:9:8yk27i;0;651zl425<;65m4}o63e3<728qe;;>58e9~j16f?3:1=v`8618;a>{i<9k36=4>{o554?>a3td?4}i??:15<5rn52bf?6=9rd?mo4?;o554??53td?5929~j16fl3:1=v`8618:0>{i<9kn6=4>{o554??23td?4}i??:15:5rn52a5?6=9rd<:=468:m05d5290:wc990;;:?xh38k91<7?tn643>;n94?:0ym336=1k1vb9>m5;295~h0>902o6sa41`5>5<6sg==<77k;|l74g1=83;pb:8?:8g8yk27j10;651zl425<;6l<4}o63ff<728qe;;>5a29~j16el3:1=v`8618b0>{i<9hn6=4>{o554?g23td?4}i??:1m:5rn52`5?6=9rd<:=4n8:m05e5290:wc990;c:?xh38j91<7?tn643>dg;o94?:0ym336=ik1vb9>l5;295~h0>90jo6sa41a5>5<6sg==<7ok;|l74f1=83;pb:8?:`g8yk27k10;651zl425<;6o<4}o63gf<728qe;;>5b29~j16dl3:1=v`8618a0>{i<9in6=4>{o554?d23td?4}i??:1n:5rn52g5?6=9rd<:=4m8:m05b5290:wc990;`:?xh38m91<7?tn643>gg;h94?:0ym336=jk1vb9>k5;295~h0>90io6sa41f5>5<6sg==<7lk;|l74a1=83;pb:8?:cg8yk27l10;651zl425<;6n<4}o63`f<728qe;;>5c29~j16cl3:1=v`8618`0>{i<9nn6=4>{o554?e23td?4}i??:1o:5rn52f5?6=9rd<:=4l8:m05c5290:wc990;a:?xh38l91<7?tn643>fg;i94?:0ym336=kk1vb9>j5;295~h0>90ho6sa41g5>5<6sg==<7mk;|l74`1=83;pb:8?:bg8yk27m10;651zl425<;6i<4}o63af<728qe;;>5d29~j16bl3:1=v`8618g0>{i<9on6=4>{o554?b23td?4}i??:1h:5rn52e5?6=9rd<:=4k8:m05`5290:wc990;f:?xh38o91<7?tn643>ag;j94?:0ym336=lk1vb9>i5;295~h0>90oo6sa41d5>5<6sg==<7jk;|l74c1=83;pb:8?:eg8yk27n10;651zl425<;6h<4}o63bf<728qe;;>5e29~j16al3:1=v`8618f0>{i<9ln6=4>{o554?c23td?0183>4}i??:1i:5rn5335?6=9rd<:=4j8:m0465290:wc990;g:?xh39991<7?tn643>`g:<94?:0ym336=mk1vb9??5;295~h0>90no6sa4025>5<6sg==<7kk;|l7551=83;pb:8?:dg8yk26810;651zl425n:182k1183l:7p`;11`94?7|f><;6k<4}o624f<728qe;;>5f29~j177l3:1=v`8618e0>{i<8:n6=4>{o554?`23td?==h50;3xj2072o<0qc:>1183>4}i??:1j:5rn5325?6=9rd<:=4i8:m0475290:wc990;d:?xh39891<7?tn643>cg:=94?:0ym336=nk1vb9?>5;295~h0>90mo6sa4035>5<6sg==<7hk;|l7541=83;pb:8?:gg8yk26910;651zl425<6891vb9?>a;295~h0>90:<<5rn532f?6=9rd<:=4>039~j176k3:1=v`8618246=zf=;:h7>51zl425<68=1vb9?>e;295~h0>90:<85rn532b?6=9rd<:=4>079~j17583:1=v`8618242=zf=;9=7>51zl425<6811vb9?=2;295~h0>90:<45rn5317?6=9rd<:=4>0`9~j175<3:1=v`861824g=zf=;997>51zl425<68j1vb9?=6;295~h0>90:0d9~j17503:1=v`861824c=zf=;957>51zl425<6991vb9?=a;295~h0>90:=<5rn531f?6=9rd<:=4>139~j175k3:1=v`8618256=zf=;9h7>51zl425<69=1vb9?=e;295~h0>90:=85rn531b?6=9rd<:=4>179~j17483:1=v`8618252=zf=;8=7>51zl425<6911vb9?<2;295~h0>90:=45rn5307?6=9rd<:=4>1`9~j174<3:1=v`861825g=zf=;897>51zl425<69j1vb9?<6;295~h0>90:=i5rn5303?6=9rd<:=4>1d9~j17403:1=v`861825c=zf=;857>51zl425<6:91vb9?90:><5rn530f?6=9rd<:=4>239~j174k3:1=v`8618266=zf=;8h7>51zl425<6:=1vb9?90:>85rn530b?6=9rd<:=4>279~j17383:1=v`8618262=zf=;?=7>51zl425<6:11vb9?;2;295~h0>90:>45rn5377?6=9rd<:=4>2`9~j173<3:1=v`861826g=zf=;?97>51zl425<6:j1vb9?;6;295~h0>90:>i5rn5373?6=9rd<:=4>2d9~j17303:1=v`861826c=zf=;?57>51zl425<6;91vb9?;a;295~h0>90:?<5rn537f?6=9rd<:=4>339~j173k3:1=v`8618276=zf=;?h7>51zl425<6;=1vb9?;e;295~h0>90:?85rn537b?6=9rd<:=4>379~j17283:1=v`8618272=zf=;>=7>51zl425<6;11vb9?:2;295~h0>90:?45rn5367?6=9rd<:=4>3`9~j172<3:1=v`861827g=zf=;>97>51zl425<6;j1vb9?:6;295~h0>90:?i5rn5363?6=9rd<:=4>3d9~j17203:1=v`861827c=zf=;>57>51zl425<6<91vb9?:a;295~h0>90:8<5rn536f?6=9rd<:=4>439~j172k3:1=v`8618206=zf=;>h7>51zl425<6<=1vb9?:e;295~h0>90:885rn536b?6=9rd<:=4>479~j17183:1=v`8618202=zf=;==7>51zl425<6<11vb9?92;295~h0>90:845rn5357?6=9rd<:=4>4`9~j171<3:1=v`861820g=zf=;=97>51zl425<690:8i5rn5353?6=9rd<:=4>4d9~j17103:1=v`861820c=zf=;=57>51zl425<6=91vb9?9a;295~h0>90:9<5rn535f?6=9rd<:=4>539~j171k3:1=v`8618216=zf=;=h7>51zl425<6==1vb9?9e;295~h0>90:985rn535b?6=9rd<:=4>579~j17083:1=v`8618212=zf=;<=7>51zl425<6=11vb9?82;295~h0>90:945rn5347?6=9rd<:=4>5`9~j170<3:1=v`861821g=zf=;<97>51zl425<6=j1vb9?86;295~h0>90:9i5rn5343?6=9rd<:=4>5d9~j17003:1=v`861821c=zf=;<57>51zl425<6>91vb9?8a;295~h0>90::<5rn534f?6=9rd<:=4>639~j170k3:1=v`8618226=zf=;51zl425<6>=1vb9?8e;295~h0>90::85rn534b?6=9rd<:=4>679~j17?83:1=v`8618222=zf=;3=7>51zl425<6>11vb9?72;295~h0>90::45rn53;7?6=9rd<:=4>6`9~j17?<3:1=v`861822g=zf=;397>51zl425<6>j1vb9?76;295~h0>90::i5rn53;3?6=9rd<:=4>6d9~j17?03:1=v`861822c=zf=;357>51zl425<6?91vb9?7a;295~h0>90:;<5rn53;f?6=9rd<:=4>739~j17?k3:1=v`8618236=zf=;3h7>51zl425<6?=1vb9?7e;295~h0>90:;85rn53;b?6=9rd<:=4>779~j17>83:1=v`8618232=zf=;2=7>51zl425<6?11vb9?62;295~h0>90:;45rn53:7?6=9rd<:=4>7`9~j17><3:1=v`861823g=zf=;297>51zl7eg<73g==<7?8c:m04?1290:wc990;34`>{i<83<6=4>{o554?70m2we8<77:182k1183;5<6sg==<7?70:m04?f290:wc990;3;5>{i<83i6=4>{o554?7?:2we8<7l:182k1183;3?6sa40;g>5<6sg==<7?74:m04?b290:wc990;3;1>{i<83m6=4>{o554?7?>2we85<6sg==<7?78:m04g5290:wc990;3;=>{i<8k86=4>{o554?7?i2we85<6sg==<7?7c:m04g1290:wc990;3;`>{i<8k<6=4>{o554?7?m2we84?73td?=lo50;3xj207283:7p`;1``94?7|f><;6<7=;|l75de=83;pb:8?:0;0?xh39hn1<7?tn643>4?33td?=lk50;3xj207283>7p`;1`d94?7|f><;6<79;|l75g6=83;pb:8?:0;4?xh39k;1<7?tn643>4??3td?=o<50;3xj20728327p`;1c194?7|f><;6<7n;|l75g2=83;pb:8?:0;a?xh39k?1<7?tn643>4?d3td?=o850;3xj207283o7p`;1c594?7|f><;6<7j;|l75g>=83;pb:8?:0;e?xh39k31<7?tn643>4g73td?=oo50;3xj20728k:7p`;1c`94?7|f><;64g33td?=ok50;3xj20728k>7p`;1cd94?7|f><;64g?3td?=n<50;3xj20728k27p`;1b194?7|f><;64gd3td?=n850;3xj20728ko7p`;1b594?7|f><;6=83;pb:8?:0ce?xh39j31<7?tn643>4d73td?=no50;3xj20728h:7p`;1b`94?7|f><;64d33td?=nk50;3xj20728h>7p`;1bd94?7|f><;64d?3td?=i<50;3xj20728h27p`;1e194?7|f><;64dd3td?=i850;3xj20728ho7p`;1e594?7|f><;6=83;pb:8?:0`e?xh39m31<7?tn643>4e73td?=io50;3xj20728i:7p`;1e`94?7|f><;64e33td?=ik50;3xj20728i>7p`;1ed94?7|f><;64e?3td?=h<50;3xj20728i27p`;1d194?7|f><;64ed3td?=h850;3xj20728io7p`;1d594?7|f><;6=83;pb:8?:0ae?xh39l31<7?tn643>4b73td?=ho50;3xj20728n:7p`;1d`94?7|f><;64b33td?=hk50;3xj20728n>7p`;1dd94?7|f><;64b?3td?=k<50;3xj20728n27p`;1g194?7|f><;64bd3td?=k850;3xj20728no7p`;1g594?7|f><;6=83;pb:8?:0fe?xh39o31<7?tn643>4c73td?=ko50;3xj20728o:7p`;1g`94?7|f><;64c33td?=kk50;3xj20728o>7p`;1gd94?7|f><;64c?3td?>=<50;3xj20728o27p`;21194?7|f><;64cd3td?>=850;3xj20728oo7p`;21594?7|f><;6=83;pb:8?:0ge?xh3:931<7?tn643>4`73td?>=o50;3xj20728l:7p`;21`94?7|f><;64`33td?>=k50;3xj20728l>7p`;21d94?7|f><;64`?3td?><<50;3xj20728l27p`;20194?7|f><;64`d3td?><850;3xj20728lo7p`;20594?7|f><;6=83;pb:8?:0de?xh3:831<7?tn643>7673td?><;6?>=;|l764e=83;pb:8?:320?xh3:8n1<7?tn643>7633td?>7p`;20d94?7|f><;6?>9;|l7676=83;pb:8?:324?xh3:;;1<7?tn643>76?3td?>?<50;3xj2072;:27p`;23194?7|f><;6?>n;|l7672=83;pb:8?:32a?xh3:;?1<7?tn643>76d3td?>?850;3xj2072;:o7p`;23594?7|f><;6?>j;|l767>=83;pb:8?:32e?xh3:;31<7?tn643>7773td?>?o50;3xj2072;;:7p`;23`94?7|f><;6??=;|l767e=83;pb:8?:330?xh3:;n1<7?tn643>7733td?>?k50;3xj2072;;>7p`;23d94?7|f><;6??9;|l7666=83;pb:8?:334?xh3::;1<7?tn643>77?3td?>><50;3xj2072;;27p`;22194?7|f><;6??n;|l7662=83;pb:8?:33a?xh3::?1<7?tn643>77d3td?>>850;3xj2072;;o7p`;22594?7|f><;6??j;|l766>=83;pb:8?:33e?xh3::31<7?tn643>7473td?>>o50;3xj2072;8:7p`;22`94?7|f><;6?<=;|l766e=83;pb:8?:300?xh3::n1<7?tn643>7433td?>>k50;3xj2072;8>7p`;22d94?7|f><;6?<9;|l7616=83;pb:8?:304?xh3:=;1<7?tn643>74?3td?>9<50;3xj2072;827p`;25194?7|f><;6?74d3td?>9850;3xj2072;8o7p`;25594?7|f><;6?=83;pb:8?:30e?xh3:=31<7?tn643>7573td?>9o50;3xj2072;9:7p`;25`94?7|f><;6?==;|l761e=83;pb:8?:310?xh3:=n1<7?tn643>7533td?>9k50;3xj2072;9>7p`;25d94?7|f><;6?=9;|l7606=83;pb:8?:314?xh3:<;1<7?tn643>75?3td?>8<50;3xj2072;927p`;24194?7|f><;6?=n;|l7602=83;pb:8?:31a?xh3:75d3td?>8850;3xj2072;9o7p`;24594?7|f><;6?=j;|l760>=83;pb:8?:31e?xh3:<31<7?tn643>7273td?>8o50;3xj2072;>:7p`;24`94?7|f><;6?:=;|l760e=83;pb:8?:360?xh3:7233td?>8k50;3xj2072;>>7p`;24d94?7|f><;6?:9;|l7636=83;pb:8?:364?xh3:?;1<7?tn643>72?3td?>;<50;3xj2072;>27p`;27194?7|f><;6?:n;|l7632=83;pb:8?:36a?xh3:??1<7?tn643>72d3td?>;850;3xj2072;>o7p`;27594?7|f><;6?:j;|l763>=83;pb:8?:36e?xh3:?31<7?tn643>7373td?>;o50;3xj2072;?:7p`;27`94?7|f><;6?;=;|l763e=83;pb:8?:370?xh3:?n1<7?tn643>7333td?>;k50;3xj2072;?>7p`;27d94?7|f><;6?;9;|l7626=83;pb:8?:374?xh3:>;1<7?tn643>73?3td?>:<50;3xj2072;?27p`;26194?7|f><;6?;n;|l7622=83;pb:8?:37a?xh3:>?1<7?tn643>73d3td?>:850;3xj2072;?o7p`;26594?7|f><;6?;j;|l762>=83;pb:8?:37e?xh3:>31<7?tn643>7073td?>:o50;3xj2072;<:7p`;26`94?7|f><;6?8=;|l762e=83;pb:8?:340?xh3:>n1<7?tn643>7033td?>:k50;3xj2072;<>7p`;26d94?7|f><;6?89;|l76=6=83;pb:8?:344?xh3:1;1<7?tn643>70?3td?>5<50;3xj2072;<27p`;29194?7|f><;6?8n;|l76=2=83;pb:8?:34a?xh3:1?1<7?tn643>70d3td?>5850;3xj2072;<;6?8j;|l76=>=83;pb:8?:34e?xh3:131<7?tn643>7173td?>5o50;3xj2072;=:7p`;29`94?7|f><;6?9=;|l76=e=83;pb:8?:350?xh3:1n1<7?tn643>7133td?>5k50;3xj2072;=>7p`;29d94?7|f><;6?99;|l76<6=83;pb:8?:354?xh3:0;1<7?tn643>71?3td?>4<50;3xj2072;=27p`;28194?7|f><;6?9n;|l76<2=83;pb:8?:35a?xh3:0?1<7?tn643>71d3td?>4850;3xj2072;=o7p`;28594?7|f><;6?9j;|l76<>=83;pb:8?:35e?xh3:031<7?tn643>7>73td?>4o50;3xj2072;2:7p`;28`94?7|f><;6?6=;|l767>33td?>4k50;3xj2072;2>7p`;28d94?7|f><;6?69;|l76d6=83;pb:8?:3:4?xh3:h;1<7?tn643>7>?3td?>l<50;3xj2072;227p`;2`194?7|f><;6?6n;|l76d2=83;pb:8?:3:a?xh3:h?1<7?tn643>7>d3td?>l850;3xj2072;2o7p`;2`594?7|f><;6?6j;|l76d>=83;pb:8?:3:e?xh3:h31<7?tn643>7?73td?>lo50;3xj2072;3:7p`;2``94?7|f><;6?7=;|l76de=83;pb:8?:3;0?xh3:hn1<7?tn643>7?33td?>lk50;3xj2072;3>7p`;2`d94?7|f><;6?79;|l76g6=83;pb:8?:3;4?xh3:k;1<7?tn643>7??3td?>o<50;3xj2072;327p`;2c194?7|f><;6?7n;|l76g2=83;pb:8?:3;a?xh3:k?1<7?tn643>7?d3td?>o850;3xj2072;3o7p`;2c594?7|f><;6?7j;|l76g>=83;pb:8?:3;e?xh3:k31<7?tn643>7g73td?>oo50;3xj2072;k:7p`;2c`94?7|f><;6?o=;|l76ge=83;pb:8?:3c0?xh3:kn1<7?tn643>7g33td?>ok50;3xj2072;k>7p`;2cd94?7|f><;6?o9;|l76f6=83;pb:8?:3c4?xh3:j;1<7?tn643>7g?3td?>n<50;3xj2072;k27p`;2b194?7|f><;6?on;|l76f2=83;pb:8?:3ca?xh3:j?1<7?tn643>7gd3td?>n850;3xj2072;ko7p`;2b594?7|f><;6?oj;|l76f>=83;pb:8?:3ce?xh3:j31<7?tn643>7d73td?>no50;3xj2072;h:7p`;2b`94?7|f><;6?l=;|l76fe=83;pb:8?:3`0?xh3:jn1<7?tn643>7d33td?>nk50;3xj2072;h>7p`;2bd94?7|f><;6?l9;|l76a6=83;pb:8?:3`4?xh3:m;1<7?tn643>7d?3td?>i<50;3xj2072;h27p`;2e194?7|f><;6?ln;|l76a2=83;pb:8?:3`a?xh3:m?1<7?tn643>7dd3td?>i850;3xj2072;ho7p`;2e594?7|f><;6?lj;|l76a>=83;pb:8?:3`e?xh3:m31<7?tn643>7e73td?>io50;3xj2072;i:7p`;2e`94?7|f><;6?m=;|l76ae=83;pb:8?:3a0?xh3:mn1<7?tn643>7e33td?>ik50;3xj2072;i>7p`;2ed94?7|f><;6?m9;|l76`6=83;pb:8?:3a4?xh3:l;1<7?tn643>7e?3td?>h<50;3xj2072;i27p`;2d194?7|f><;6?mn;|l76`2=83;pb:8?:3aa?xh3:l?1<7?tn643>7ed3td?>h850;3xj2072;io7p`;2d594?7|f><;6?mj;|l76`>=83;pb:8?:3ae?xh3:l31<7?tn643>7b73td?>ho50;3xj2072;n:7p`;2d`94?7|f><;6?j=;|l76`e=83;pb:8?:3f0?xh3:ln1<7?tn643>7b33td?>hk50;3xj2072;n>7p`;2dd94?7|f><;6?j9;|l76c6=83;pb:8?:3f4?xh3:o;1<7?tn643>7b?3td?>k<50;3xj2072;n27p`;2g194?7|f><;6?jn;|l76c2=83;pb:8?:3fa?xh3:o?1<7?tn643>7bd3td?>k850;3xj2072;no7p`;2g594?7|f><;6?jj;|l76c>=83;pb:8?:3fe?xh3:o31<7?tn643>7c73td?>ko50;3xj2072;o:7p`;2g`94?7|f><;6?k=;|l76ce=83;pb:8?:3g0?xh3:on1<7?tn643>7c33td?>kk50;3xj2072;o>7p`;2gd94?7|f><;6?k9;|l7756=83;pb:8?:3g4?xh3;9;1<7?tn643>7c?3td??=<50;3xj2072;o27p`;31194?7|f><;6?kn;|l7752=83;pb:8?:3ga?xh3;9?1<7?tn643>7cd3td??=850;3xj2072;oo7p`;31594?7|f><;6?kj;|l775>=83;pb:8?:3ge?xh3;931<7?tn643>7`73td??=o50;3xj2072;l:7p`;31`94?7|f><;6?h=;|l775e=83;pb:8?:3d0?xh3;9n1<7?tn643>7`33td??=k50;3xj2072;l>7p`;31d94?7|f><;6?h9;|l7746=83;pb:8?:3d4?xh3;8;1<7?tn643>7`?3td??<<50;3xj2072;l27p`;30194?7|f><;6?hn;|l7742=83;pb:8?:3da?xh3;8?1<7?tn643>7`d3td??<850;3xj2072;lo7p`;30594?7|f><;6?hj;|l774>=83;pb:8?:3de?xh3;831<7?tn643>6673td??<;6>>=;|l774e=83;pb:8?:220?xh3;8n1<7?tn643>6633td??7p`;30d94?7|f><;6>>9;|l7776=83;pb:8?:224?xh3;;;1<7?tn643>66?3td???<50;3xj2072::27p`;33194?7|f><;6>>n;|l7772=83;pb:8?:22a?xh3;;?1<7?tn643>66d3td???850;3xj2072::o7p`;33594?7|f><;6>>j;|l777>=83;pb:8?:22e?xh3;;31<7?tn643>6773td???o50;3xj2072:;:7p`;33`94?7|f><;6>?=;|l777e=83;pb:8?:230?xh3;;n1<7?tn643>6733td???k50;3xj2072:;>7p`;33d94?7|f><;6>?9;|l7766=83;pb:8?:234?xh3;:;1<7?tn643>67?3td??><50;3xj2072:;27p`;32194?7|f><;6>?n;|l7762=83;pb:8?:23a?xh3;:?1<7?tn643>67d3td??>850;3xj2072:;o7p`;32594?7|f><;6>?j;|l776>=83;pb:8?:23e?xh3;:31<7?tn643>6473td??>o50;3xj2072:8:7p`;32`94?7|f><;6><=;|l776e=83;pb:8?:200?xh3;:n1<7?tn643>6433td??>k50;3xj2072:8>7p`;32d94?7|f><;6><9;|l7716=83;pb:8?:204?xh3;=;1<7?tn643>64?3td??9<50;3xj2072:827p`;35194?7|f><;6>64d3td??9850;3xj2072:8o7p`;35594?7|f><;6>=83;pb:8?:20e?xh3;=31<7?tn643>6573td??9o50;3xj2072:9:7p`;35`94?7|f><;6>==;|l771e=83;pb:8?:210?xh3;=n1<7?tn643>6533td??9k50;3xj2072:9>7p`;35d94?7|f><;6>=9;|l7706=83;pb:8?:214?xh3;<;1<7?tn643>65?3td??8<50;3xj2072:927p`;34194?7|f><;6>=n;|l7702=83;pb:8?:21a?xh3;65d3td??8850;3xj2072:9o7p`;34594?7|f><;6>=j;|l770>=83;pb:8?:21e?xh3;<31<7?tn643>6273td??8o50;3xj2072:>:7p`;34`94?7|f><;6>:=;|l770e=83;pb:8?:260?xh3;6233td??8k50;3xj2072:>>7p`;34d94?7|f><;6>:9;|l7736=83;pb:8?:264?xh3;?;1<7?tn643>62?3td??;<50;3xj2072:>27p`;37194?7|f><;6>:n;|l7732=83;pb:8?:26a?xh3;??1<7?tn643>62d3td??;850;3xj2072:>o7p`;37594?7|f><;6>:j;|l773>=83;pb:8?:26e?xh3;?31<7?tn643>6373td??;o50;3xj2072:?:7p`;37`94?7|f><;6>;=;|l773e=83;pb:8?:270?xh3;?n1<7?tn643>6333td??;k50;3xj2072:?>7p`;37d94?7|f><;6>;9;|l7726=83;pb:8?:274?xh3;>;1<7?tn643>63?3td??:<50;3xj2072:?27p`;36194?7|f><;6>;n;|l7722=83;pb:8?:27a?xh3;>?1<7?tn643>63d3td??:850;3xj2072:?o7p`;36594?7|f><;6>;j;|l772>=83;pb:8?:27e?xh3;>31<7?tn643>6073td??:o50;3xj2072:<:7p`;36`94?7|f><;6>8=;|l772e=83;pb:8?:240?xh3;>n1<7?tn643>6033td??:k50;3xj2072:<>7p`;36d94?7|f><;6>89;|l77=6=83;pb:8?:244?xh3;1;1<7?tn643>60?3td??5<50;3xj2072:<27p`;39194?7|f><;6>8n;|l77=2=83;pb:8?:24a?xh3;1?1<7?tn643>60d3td??5850;3xj2072:<;6>8j;|l77=>=83;pb:8?:24e?xh3;131<7?tn643>6173td??5o50;3xj2072:=:7p`;39`94?7|f><;6>9=;|l77=e=83;pb:8?:250?xh3;1n1<7?tn643>6133td??5k50;3xj2072:=>7p`;39d94?7|f><;6>99;|l77<6=83;pb:8?:254?xh3;0;1<7?tn643>61?3td??4<50;3xj2072:=27p`;38194?7|f><;6>9n;|l77<2=83;pb:8?:25a?xh3;0?1<7?tn643>61d3td??4850;3xj2072:=o7p`;38594?7|f><;6>9j;|l77<>=83;pb:8?:25e?xh3;031<7?tn643>6>73td??4o50;3xj2072:2:7p`;38`94?7|f><;6>6=;|l776>33td??4k50;3xj2072:2>7p`;38d94?7|f><;6>69;|l77d6=83;pb:8?:2:4?xh3;h;1<7?tn643>6>?3td??l<50;3xj2072:227p`;3`194?7|f><;6>6n;|l77d2=83;pb:8?:2:a?xh3;h?1<7?tn643>6>d3td??l850;3xj2072:2o7p`;3`594?7|f><;6>6j;|l77d>=83;pb:8?:2:e?xh3;h31<7?tn643>6?73td??lo50;3xj2072:3:7p`;3``94?7|f><;6>7=;|l77de=83;pb:8?:2;0?xh3;hn1<7?tn643>6?33td??lk50;3xj2072:3>7p`;3`d94?7|f><;6>79;|l77g6=83;pb:8?:2;4?xh3;k;1<7?tn643>6??3td??o<50;3xj2072:327p`;3c194?7|f><;6>7n;|l77g2=83;pb:8?:2;a?xh3;k?1<7?tn643>6?d3td??o850;3xj2072:3o7p`;3c594?7|f><;6>7j;|l77g>=83;pb:8?:2;e?xh3;k31<7?tn643>6g73td??oo50;3xj2072:k:7p`;3c`94?7|f><;6>o=;|l77ge=83;pb:8?:2c0?xh3;kn1<7?tn643>6g33td??ok50;3xj2072:k>7p`;3cd94?7|f><;6>o9;|l77f6=83;pb:8?:2c4?xh3;j;1<7?tn643>6g?3td??n<50;3xj2072:k27p`;3b194?7|f><;6>on;|l77f2=83;pb:8?:2ca?xh3;j?1<7?tn643>6gd3td??n850;3xj2072:ko7p`;3b594?7|f><;6>oj;|l77f>=83;pb:8?:2ce?xh3;j31<7?tn643>6d73td??no50;3xj2072:h:7p`;3b`94?7|f><;6>l=;|l77fe=83;pb:8?:2`0?xh3;jn1<7?tn643>6d33td??nk50;3xj2072:h>7p`;3bd94?7|f><;6>l9;|l77a6=83;pb:8?:2`4?xh3;m;1<7?tn643>6d?3td??i<50;3xj2072:h27p`;3e194?7|f><;6>ln;|l77a2=83;pb:8?:2`a?xh3;m?1<7?tn643>6dd3td??i850;3xj2072:ho7p`;3e594?7|f><;6>lj;|l77a>=83;pb:8?:2`e?xh3;m31<7?tn643>6e73td??io50;3xj2072:i:7p`;3e`94?7|f><;6>m=;|l77ae=83;pb:8?:2a0?xh3;mn1<7?tn643>6e33td??ik50;3xj2072:i>7p`;3ed94?7|f><;6>m9;|l77`6=83;pb:8?:2a4?xh3;l;1<7?tn643>6e?3td??h<50;3xj2072:i27p`;3d194?7|f><;6>mn;|l77`2=83;pb:8?:2aa?xh3;l?1<7?tn643>6ed3td??h850;3xj2072:io7p`;3d594?7|f><;6>mj;|l77`>=83;pb:8?:2ae?xh3;l31<7?tn643>6b73td??ho50;3xj2072:n:7p`;3d`94?7|f><;6>j=;|l77`e=83;pb:8?:2f0?xh3;ln1<7?tn643>6b33td??hk50;3xj2072:n>7p`;3dd94?7|f><;6>j9;|l77c6=83;pb:8?:2f4?xh3;o;1<7?tn643>6b?3td??k<50;3xj2072:n27p`;3g194?7|f><;6>jn;|l77c2=83;pb:8?:2fa?xh3;o?1<7?tn643>6bd3td??k850;3xj2072:no7p`;3g594?7|f><;6>jj;|l77c>=83;pb:8?:2fe?xh3;o31<7?tn643>6c73td??ko50;3xj2072:o:7p`;3g`94?7|f><;6>k=;|l77ce=83;pb:8?:2g0?xh3;on1<7?tn643>6c33td??kk50;3xj2072:o>7p`;3gd94?7|f><;6>k9;|l7056=83;pb:8?:2g4?xh3<9;1<7?tn643>6c?3td?8=<50;3xj2072:o27p`;41194?7|f><;6>kn;|l7052=83;pb:8?:2ga?xh3<9?1<7?tn643>6cd3td?8=850;3xj2072:oo7p`;41594?7|f><;6>kj;|l705>=83;pb:8?:2ge?xh3<931<7?tn643>6`73td?8=o50;3xj2072:l:7p`;41`94?7|f><;6>h=;|l705e=83;pb:8?:2d0?xh3<9n1<7?tn643>6`33td?8=k50;3xj2072:l>7p`;41d94?7|f><;6>h9;|l7046=83;pb:8?:2d4?xh3<8;1<7?tn643>6`?3td?8<<50;3xj2072:l27p`;40194?7|f><;6>hn;|l7042=83;pb:8?:2da?xh3<8?1<7?tn643>6`d3td?8<850;3xj2072:lo7p`;40594?7|f><;6>hj;|l704>=83;pb:8?:2de?xh3<831<7?tn643>1673td?8<;69>=;|l704e=83;pb:8?:520?xh3<8n1<7?tn643>1633td?87p`;40d94?7|f><;69>9;|l7076=83;pb:8?:524?xh3<;;1<7?tn643>16?3td?8?<50;3xj2072=:27p`;43194?7|f><;69>n;|l7072=83;pb:8?:52a?xh3<;?1<7?tn643>16d3td?8?850;3xj2072=:o7p`;43594?7|f><;69>j;|l707>=83;pb:8?:52e?xh3<;31<7?tn643>1773td?8?o50;0xj2072=;:7p`;43`94?7|f><;69?=;|l707e=83;pb:8?:530?xh3<;n1<7?tn643>1733td?8?k50;3xj2072=;>7p`;43d94?7|f><;69?9;|l7066=83;pb:8?:534?xh3<:;1<7?tn643>17?3td?8><50;3xj2072=;27p`;42194?7|f><;69?n;|l7062=83;pb:8?:53a?xh3<:?1<7?tn643>17d3td?8>850;3xj2072=;o7p`;42594?7|f><;69?j;|l706>=83;pb:8?:53e?xh3<:31<7?tn643>1473td?8>o50;3xj2072=8:7p`;42`94?7|f><;69<=;|l706e=83;pb:8?:500?xh3<:n1<7?tn643>1433td?8>k50;3xj2072=8>7p`;42d94?7|f><;69<9;|l7016=83;pb:8?:504?xh3<=;1<7?tn643>14?3td?89<50;3xj2072=827p`;45194?7|f><;6914d3td?89850;3xj2072=8o7p`;45594?7|f><;69=83;pb:8?:50e?xh3<=31<7?tn643>1573td?89o50;3xj2072=9:7p`;45`94?7|f><;69==;|l701e=83;pb:8?:510?xh3<=n1<7?tn643>1533td?89k50;3xj2072=9>7p`;45d94?7|f><;69=9;|l7006=83;pb:8?:514?xh3<<;1<7?tn643>15?3td?88<50;3xj2072=927p`;44194?7|f><;69=n;|l7002=83;pb:8?:51a?xh3<15d3td?88850;3xj2072=9o7p`;44594?7|f><;69=j;|l700>=83;pb:8?:51e?xh3<<31<7?tn643>1273td?88o50;3xj2072=>:7p`;44`94?7|f><;69:=;|l700e=83;pb:8?:560?xh3<1233td?88k50;3xj2072=>>7p`;44d94?7|f><;69:9;|l7036=83;pb:8?:564?xh312?3td?8;<50;3xj2072=>27p`;47194?7|f><;69:n;|l7032=83;pb:8?:56a?xh312d3td?8;850;3xj2072=>o7p`;47594?7|f><;69:j;|l703>=83;pb:8?:56e?xh31373td?8;o50;3xj2072=?:7p`;47`94?7|f><;69;=;|l703e=83;pb:8?:570?xh31333td?8;k50;3xj2072=?>7p`;47d94?7|f><;69;9;|l7026=83;pb:8?:574?xh3<>;1<7?tn643>13?3td?8:<50;3xj2072=?27p`;46194?7|f><;69;n;|l7022=83;pb:8?:57a?xh3<>?1<7?tn643>13d3td?8:850;3xj2072=?o7p`;46594?7|f><;69;j;|l702>=83;pb:8?:57e?xh3<>31<7?tn643>1073td?8:o50;3xj2072=<:7p`;46`94?7|f><;698=;|l702e=83;pb:8?:540?xh3<>n1<7?tn643>1033td?8:k50;3xj2072=<>7p`;46d94?7|f><;6989;|l70=6=83;pb:8?:544?xh3<1;1<7?tn643>10?3td?85<50;3xj2072=<27p`;49194?7|f><;698n;|l70=2=83;pb:8?:54a?xh3<1?1<7?tn643>10d3td?85850;3xj2072=<;698j;|l70=>=83;pb:8?:54e?xh3<131<7?tn643>1173td?85o50;3xj2072==:7p`;49`94?7|f><;699=;|l70=e=83;pb:8?:550?xh3<1n1<7?tn643>1133td?85k50;3xj2072==>7p`;49d94?7|f><;6999;|l70<6=83;pb:8?:554?xh3<0;1<7?tn643>11?3td?84<50;3xj2072==27p`;48194?7|f><;699n;|l70<2=83;pb:8?:55a?xh3<0?1<7?tn643>11d3td?84850;3xj2072==o7p`;48594?7|f><;699j;|l70<>=83;pb:8?:55e?xh3<031<7?tn643>1>73td?84o50;3xj2072=2:7p`;48`94?7|f><;696=;|l701>33td?84k50;3xj2072=2>7p`;48d94?7|f><;6969;|l70d6=83;pb:8?:5:4?xh31>?3td?8l<50;3xj2072=227p`;4`194?7|f><;696n;|l70d2=83;pb:8?:5:a?xh31>d3td?8l850;3xj2072=2o7p`;4`594?7|f><;696j;|l70d>=83;pb:8?:5:e?xh31?73td?8lo50;3xj2072=3:7p`;4``94?7|f><;697=;|l70de=83;pb:8?:5;0?xh31?33td?8lk50;3xj2072=3>7p`;4`d94?7|f><;6979;|l70g6=83;pb:8?:5;4?xh31??3td?8o<50;3xj2072=327p`;4c194?7|f><;697n;|l70g2=83;pb:8?:5;a?xh31?d3td?8o850;3xj2072=3o7p`;4c594?7|f><;697j;|l70g>=83;pb:8?:5;e?xh31g73td?8oo50;3xj2072=k:7p`;4c`94?7|f><;69o=;|l70ge=83;pb:8?:5c0?xh31g33td?8ok50;3xj2072=k>7p`;4cd94?7|f><;69o9;|l70f6=83;pb:8?:5c4?xh31g?3td?8n<50;3xj2072=k27p`;4b194?7|f><;69on;|l70f2=83;pb:8?:5ca?xh31gd3td?8n850;3xj2072=ko7p`;4b594?4|f><;69oj;|l70f>=83;pb:8?:5ce?xh31d73td?8no50;3xj2072=h:7p`;4b`94?7|f><;69l=;|l70fe=83;pb:8?:5`0?xh31d33td?8nk50;3xj2072=h>7p`;4bd94?7|f><;69l9;|l70a6=83;pb:8?:5`4?xh31d?3td?8i<50;3xj2072=h27p`;4e194?7|f><;69ln;|l70a2=83;pb:8?:5`a?xh31dd3td?8i850;3xj2072=ho7p`;4e594?7|f><;69lj;|l70a>=83;pb:8?:5`e?xh31e73td?8io50;3xj2072=i:7p`;4e`94?7|f><;69m=;|l70ae=83;pb:8?:5a0?xh31e33td?8ik50;3xj2072=i>7p`;4ed94?7|f><;69m9;|l70`6=83;pb:8?:5a4?xh31e?3td?8h<50;3xj2072=i27p`;4d194?7|f><;69mn;|l70`2=83;pb:8?:5aa?xh31ed3td?8h850;3xj2072=io7p`;4d594?7|f><;69mj;|l70`>=83;pb:8?:5ae?xh31b73td?8ho50;3xj2072=n:7p`;4d`94?7|f><;69j=;|l70`e=83;pb:8?:5f0?xh31b33td?8hk50;3xj2072=n>7p`;4dd94?7|f><;69j9;|l70c6=83;pb:8?:5f4?xh31b?3td?8k<50;3xj2072=n27p`;4g194?7|f><;69jn;|l70c2=83;pb:8?:5fa?xh31bd3td?8k850;3xj2072=no7p`;4g594?7|f><;69jj;|l70c>=83;pb:8?:5fe?xh31c73td?8ko50;3xj2072=o:7p`;4g`94?7|f><;69k=;|l70ce=83;pb:8?:5g0?xh31c33td?8kk50;3xj2072=o>7p`;4gd94?7|f><;69k9;|l7156=83;pb:8?:5g4?xh3=9;1<7?tn643>1c?3td?9=<50;3xj2072=o27p`;51194?7|f><;69kn;|l7152=83;pb:8?:5ga?xh3=9?1<7?tn643>1cd3td?9=850;3xj2072=oo7p`;51594?7|f><;69kj;|l715>=83;pb:8?:5ge?xh3=931<7?tn643>1`73td?9=o50;3xj2072=l:7p`;51`94?7|f><;69h=;|l715e=83;pb:8?:5d0?xh3=9n1<7?tn643>1`33td?9=k50;3xj2072=l>7p`;51d94?7|f><;69h9;|l7146=83;pb:8?:5d4?xh3=8;1<7?tn643>1`?3td?9<<50;3xj2072=l27p`;50194?7|f><;69hn;|l7142=83;pb:8?:5da?xh3=8?1<7?tn643>1`d3td?9<850;3xj2072=lo7p`;50594?7|f><;69hj;|l714>=83;pb:8?:5de?xh3=831<7?tn643>0673td?9<;68>=;|l714e=83;pb:8?:420?xh3=8n1<7?tn643>0633td?97p`;50d94?7|f><;68>9;|l7176=83;pb:8?:424?xh3=;;1<7?tn643>06?3td?9?<50;3xj2072<:27p`;53194?7|f><;68>n;|l7172=83;pb:8?:42a?xh3=;?1<7?tn643>06d3td?9?850;3xj2072<:o7p`;53594?7|f><;68>j;|l717>=83;pb:8?:42e?xh3=;31<7?tn643>0773td?9?o50;3xj2072<;:7p`;53`94?7|f><;68?=;|l717e=83;pb:8?:430?xh3=;n1<7?tn643>0733td?9?k50;3xj2072<;>7p`;53d94?7|f><;68?9;|l7166=83;pb:8?:434?xh3=:;1<7?tn643>07?3td?9><50;3xj2072<;27p`;52194?7|f><;68?n;|l7162=83;pb:8?:43a?xh3=:?1<7?tn643>07d3td?9>850;3xj2072<;o7p`;52594?7|f><;68?j;|l716>=83;pb:8?:43e?xh3=:31<7?tn643>0473td?9>o50;3xj2072<8:7p`;52`94?7|f><;68<=;|l716e=83;pb:8?:400?xh3=:n1<7?tn643>0433td?9>k50;3xj2072<8>7p`;52d94?7|f><;68<9;|l7116=83;pb:8?:404?xh3==;1<704?3td?99<50;3xj2072<827p`;55194?7|f><;6804d3td?99850;3xj2072<8o7p`;55594?7|f><;68=83;pb:8?:40e?xh3==31<7?tn643>0573td?99o50;3xj2072<9:7p`;55`94?7|f><;68==;|l711e=83;pb:8?:410?xh3==n1<7?tn643>0533td?<=l50;3xj1ge281e;;>55278yk279?0;6h0>90>?;5r}|CDF}3i1?1i=>;b0aeyEFEs7<5>h>1>>oj;|y412<729096?86f;00<<=zs>?<6=4?:3812d3=:<<>7pu85683>5<52;92j7<<8`9~230290;6?4=6`4963g53tq<9:4?:181>705;3884o5r{674>5<72;09:l9527;f?x}0=>0;6=4=:34b7<5>h31>>o7;|y412<729096?8na;00ed=zs>?<6=4?:3812dd=::ki7pu85683>5<52;70fm388mk5r{674>5<72;09:lh522`3?x}0=>0;6=4=:34a4?44j81vw:;8:183>7<5>k;1>>l=;|y412<729096?8m2;00f6=zs>?<6=4?:3812g5=::h?7pu85683>5<52;750j3884n5r{674>5<72;09:o85225f?x}0=>0;6=4=:34b7?440m1vw:;8:183>7<5=031>>6i;|y412<729096?;86;0056=zs>?<6=4?:38112?=::;=7pu85683>5<52;?<47<>7`9~230290;6?4=56c9641c3tq<9:4?:181>730j38:;k5r{674>5<72;099:m520:2?x}0=>0;6=4=:374`?460:1vw:;8:183>7<5=>o1><6:;|y412<729096?;8f;02<2=zs>?<6=4?:38113b=:82o7pu85683>5<52;?=j7<>919~230290;6?4=562964?53tq<9:4?:181>730938:595r{674>5<72;099:<520;5?x}0=>0;6=4=:3747?46111vw:;8:183>7<5=>>1><7n;|y412<729086?;9b;0063<59;90qv9:7;294?4=:<5<42;?<97<>37817f5;7>50;0960df2;9856st74594?6=:38>ni4=32a8y~12?3:1<7<524``>77f82wp;8950;296?42jl09=l=4}z563?6=8381>;6?:317g>{|?<=1<7>52;05<6<5;=l0qv9:7;294?4=:?296??nd:x301=83:1>7<985815g6;7>50;0960`?2;8j56st74594?6=:38>jo4=2`a8y~12?3:1<7<52513>727j2wp;8950;296?439l098=64}z563?6=8381>8=j:36:0>{|?<=1<7>52;067a<5<080qv9:7;294?4=:<9h6?:60:x301=83:1>7<:3c810=c;7>50;09605f2;>3o6st74594?6=:38>?44=49c8y~12?3:1<7<5241;>72?02wp;8950;296?42;>098584}z563?6=8381>8?8:36;6>{|?<=1<7>52;0653<5<1:0qv9:7;294?4=:<;>6?:8e:x301=83:1>7<:158102e;7>50;0960742;>=?4=46:8y~12?3:1<7<52432>720>2wp;8950;296?4299098::4}z563?6=8381>8;=:36a=>{|?<=1<7>52;0614<55<52;??i7<;b09~230290;6?4=55f961ga3tq<9:4?:181>733k38?mi5r{674>5<72:0999l525ca>72dm2wp;8950;296?42<1098l84}z563?6=8391>8:8:36b0?43k<1vw:;8:183>7<5==<1>9o=;|y412<729096?;;5;07e5=zs>?<6=4?:281112=:=3n6?:l1:x301=83:1>7<:42810;7>50;0960252;>2m6st74594?6=;38>8<4=48:961dc3tq<9:4?:181>730?38:4l5r{674>5<72;099;m520;g?x}0=>0;6=4=:3767?43jk1vw:;8:183>7<5==31>9o7;|y412<729096?;mf;007c=zs>?<6=4?:3812=3=::?97pu85683>5<52;<257<;1b9~230290;6?4=4759610>3tq<9:4?:181>731938>:45r{674>5<72;099;>5244;?x}0=>0;6=4=:376b?42>>1vw:;8:183>7<5=889;|y412<729096?87b;05?<6=4?:3811f3=:5<52;70b<39i7pu85783>5<52;71783?<7pu85783>5<52;=;;78?;|y413<729096?9?e;44?x}0=?0;6=4=:3521?0b3tq<9;4?:181>716k3=>7pu85783>5<52;=9?79l;|y413<729096?9=a;:0?x}0=?0;6=4=:3505?>f3tq<9;4?:181>714033:7pu85783>5<52;=8j777;|y413<729096?9;6;;e?x}0=?0;6=4=:357`?g13tq<9;4?:181>712<3ko7pu85783>5<52;=>n7l;;|y413<729096?992;`a?x}0=?0;6=4=:355=?e53tq<9;4?:181>71083i27pu85783>5<52;=<;7j?;|y413<729096?98e;f4?x}0=?0;6=4=:35;1?bb3tq<9;4?:181>71?k3o>7pu85783>5<52;=2?7kl;|y413<729096?96a;d0?x}0=?0;6=4=:35b5?`f3tq<9;4?:181>71f03;;=6st74494?6=:38099~231290;6?4=7c4955`:7>50;0962dc28;=7pu85783>5<52;=h87?>d:x300=83:1>7<8cc8261=zs>?=6=4?:3813a4=9;h0qv9:6;294?4=:>n26<==;|y413<729096?9j0;30=>{|?<<1<7>52;04a2<6<91vw:;9:183>7<5?lo1=994}z562?6=8381>:h::06f?x}0=?0;6=4=:35eg?72=2wp;8850;296?4?8:0:9n5r{675>5<72;094=o51718y~12>3:1<7<52932>40f3tq<9;4?:181>7>603;<=6st74494?6=:383=k4>799~231290;6?4=834952`:7>50;096=4c282=7pu85783>5<52;2887?7d:x300=83:1>7<73c82=1=zs>?=6=4?:381<14=90h0qv9:6;294?4=:1>26{|?<<1<7>52;0;12<6j91vw:;9:183>7<5058::0`f?x}0=?0;6=4=:3:5g?7d=2wp;8850;296?4??:0:on5r{675>5<72;094:o51e18y~12>3:1<7<529:2>4bf3tq<9;4?:181>7>?03;n=6st74494?6=:3834k4>e99~231290;6?4=88495``:7>50;096=?c28l=7pu85783>5<52;2j87?id:x300=83:1>7<7ac8141=zs>?=6=4?:381{|?<<1<7>52;0;g2<5:91vw:;9:183>7<50ji1>?;4}z562?6=8381>5j?:30:?x}0=?0;6=4=:3:g1?45m2wp;8850;296?4?l009??5r{675>5<72;094ik52258y~12>3:1<7<529g1>75e3tq<9;4?:181>7>b?38?<6st74494?6=:383io4=459~231290;6?4=8g2961?:7>50;096=`32;>o7pu85783>5<52;2m57<:2:x300=83:1>7<7fe8113=zs>?=6=4?:381=54=:{|?<<1<7>52;0:4c<5>11vw:;9:183>7<518>1>;j4}z562?6=8381>4?7:352?x}0=?0;6=4=:3;2g?40=2wp;8850;296?4>:809;l5r{675>5<72;095?;526g8y~12>3:1<7<5280b>7>43tq<9;4?:181>7?5m383;6st74494?6=:382?>4=8b9~231290;6?4=92596<6:7>50;096<5d2;3>7pu85783>5<52;3?<7<69:x300=83:1>7<64481=`=zs>?=6=4?:381=1?=:h80qv9:6;294?4=:0>n6?o8;|y413<729096?7:2;0bf>{|?<<1<7>52;0:12<5j91vw:;9:183>7<51

o:4}z562?6=8381>48?:3`:?x}0=?0;6=4=:3;50?4el2wp;8850;296?4>>009o?5r{675>5<72;095;j52b48y~12>3:1<7<52852>7ef3tq<9;4?:181>7?0>38hj6st74494?6=:382;l4=d29~231290;6?4=96d96a>:7>50;096<>42;nh7pu85783>5<52;33477<68b81a0=zs>?=6=4?:381=<7=:lk0qv9:6;294?4=:03>6?kj;|y413<729096?76a;0e7>{|?<<1<7>52;0:=`<5n>1vw:;9:183>7<51h91>km4}z562?6=8381>4o8:223?x}0=?0;6=4=:3;bg?57=2wp;8850;296?4>j908<45r{675>5<72;095o;531g8y~12>3:1<7<528`:>6753tq<9;4?:181>7?em39:;6st74494?6=:382o?4<1c9~231290;6?4=9b4974`:7>50;0965<52;3hj7==8:x300=83:1>7<6d5806a=zs>?=6=4?:381=a>=;:;0qv9:6;294?4=:0no6>=9;|y413<729096?7j1;10e>{|?<<1<7>52;0:a3<4;o1vw:;9:183>7<51lk1?9=4}z562?6=8381>4ki:26;?x}0=?0;6=4=:3;e7?53k2wp;8850;296?4>n1089<5r{675>5<72;095km53478y~12>3:1<7<52`22>63f3tq<9;4?:181>7g7=39>i6st74494?6=:38j:7>50;096d742:5<52;k:;7=80:x300=83:1>7?=6=4?:381e4`=;>20qv9:6;294?4=:h8?6>9k;|y413<729096?o=8;1;5>{|?<<1<7>52;0b6f<40<1vw:;9:183>7<5i:;1?5o4}z562?6=8381>l=::2:f?x}0=?0;6=4=:3c0=?5>:2wp;8850;296?4f;l085:5r{675>5<72;09m9<538`8y~12>3:1<7<52`65>6?a3tq<9;4?:181>7g3j39j86st74494?6=:38j8k4:7>50;096d3?2:h:7pu85783>5<52;k>o7=m5:x300=83:1>7?=6=4?:381e33=;ko0qv9:6;294?4=:h<26>m=;|y413<729096?o9d;1`2>{|?<<1<7>52;0b37<4kk1vw:;9:183>7<5i><1?nh4}z562?6=8381>l9n:2f0?x}0=?0;6=4=:3c4b?5c02wp;8850;296?4f0:08hn5r{675>5<72;09m5953d28y~12>3:1<7<52`:`>6c23tq<9;4?:181>7g>839n56st74494?6=:38j594:7>50;096d?c2:l=7pu85783>5<52;kj=7=ia:x300=83:1>7?=6=4?:381ed?=<980qv9:6;294?4=:hkn69>8;|y413<729096?om2;63f>{|?<<1<7>52;0bf3<38o1vw:;9:183>7<5ikh18<:4}z562?6=8381>lli:53;?x}0=?0;6=4=:3c`7?26k2wp;8850;296?4fk10?><5r{675>5<72;09mnm54378y~12>3:1<7<52`f3>14>3tq<9;4?:181>7gc=3>9i6st74494?6=:38jh44;339~231290;6?4=aef9060:7>50;096dc52=9i7pu85783>5<52;kn:7:7?=6=4?:381e``=<=20qv9:6;294?4=:hl869:l;|y413<729096?oi7;664>{|?<<1<7>52;0bbf<3=<1vw:;9:183>7<5j9:18874}z562?6=8381>o>;:57g?x}0=?0;6=4=:3`3=?21:2wp;8850;296?4e8m0?:;5r{675>5<72;09n3:1<7<52c35>10a3tq<9;4?:181>7d6i3>:7>50;096g402=2;7pu85783>5<52;h9n7:74:x300=83:1>7?=6=4?:381f62=<1n0qv9:6;294?4=:k93697>;|y413<729096?l{|?<<1<7>52;0a04<31h1vw:;9:183>7<5j=<184h4}z562?6=8381>o:n:5c0?x}0=?0;6=4=:3`7b?2f02wp;8850;296?4e=:0?mn5r{675>5<72;09n8654c38y~12>3:1<7<52c7`>1d23tq<9;4?:181>7d193>im6st74494?6=:38i:84;bd9~231290;6?4=b7c90f5:7>50;096g0b2=i<7pu85783>5<52;h7?=6=4?:381f2e={|?<<1<7>52;0a<<<3m;1vw:;9:183>7<5j1o18h94}z562?6=8381>o7=:5ga?x}0=?0;6=4=:3`:3?2a82wp;8850;296?4e1k0?j95r{675>5<72;09nl>54g;8y~12>3:1<7<52cc7>1`c3tq<9;4?:181>7df13?;>6st74494?6=:38imi4:079~231290;6?4=bc0915d:7>50;096gd12<:m7pu85783>5<52;hin7;>4:x300=83:1>7?=6=4?:381ff2==8n0qv9:6;294?4=:ki368<>;|y413<729096?lld;712>{|?<<1<7>52;0a`4<2:h1vw:;9:183>7<5jm?19?k4}z562?6=8381>ojn:410?x}0=?0;6=4=:3`gb?3402wp;8850;296?4em=0>?i5r{675>5<72;09nh755508y~12>3:1<7<52cgf>0203tq<9;4?:181>7da;3??o6st74494?6=:38ij54:5`9~231290;6?4=bgf910`:7>50;096f662<<87pu85783>5<52;i;:7;98:x300=83:1>7?=6=4?:381g5c==>:0qv9:6;294?4=:j;8689:;|y413<729096?m>7;74=>{|?<<1<7>52;0`5g<2?m1vw:;9:183>7<5k;:195<4}z562?6=8381>n<;:4:5?x}0=?0;6=4=:3a14k5r{675>5<72;09o>?55818y~12>3:1<7<52b16>0?03tq<9;4?:181>7e4i3?2o6st74494?6=:38h?h4:a19~231290;6?4=c5091d2:7>50;096f2025<52;i?n7;nd:x300=83:1>7?=6=4?:381g02==k<0qv9:6;294?4=:j?368ln;|y413<729096?m:c;7aa>{|?<<1<7>52;0`24<2k:1vw:;9:183>7<5k??19n94}z562?6=8381>n86:4aa?x}0=?0;6=4=:3a5`?3c02wp;8850;296?4d?;0>hi5r{675>5<72;09o:855d38y~12>3:1<7<52b5a>0c13tq<9;4?:181>7e0n3?nm6st74494?6=:38h494:eg9~231290;6?4=c9:91c5:7>50;096f>c25<52;i2=7;ic:x300=83:1>7?=6=4?:381g9?0qv9:6;294?4=:j3m6;>n;|y413<729096?mn3;43a>{|?<<1<7>52;0`e=<19:1vw:;9:183>7<5khi1:<94}z562?6=8381>nl>:73`?x}0=?0;6=4=:3aa1?0582wp;8850;296?4djh0=>85r{675>5<72;09ook563;8y~12>3:1<7<52ba1>34c3tq<9;4?:181>7ed?3<8>6st74494?6=:38hoo49379~231290;6?4=ce2926d:7>50;096fb32?9m7pu85783>5<52;io578;4:x300=83:1>7?=6=4?:381g`4=>=n0qv9:6;294?4=:jo=6;;>;|y413<729096?mjb;462>{|?<<1<7>52;0`ac<1=h1vw:;9:183>7<5ko>1:8h4}z562?6=8381>nh7:740?x}0=?0;6=4=:3ae`?0102wp;8850;296?4c880=:n5r{675>5<72;09h=856638y~12>3:1<7<52e2b>3123tq<9;4?:181>7b7n3<497d9~231290;6?4=d0592=4:7>50;096a7d2?2<7pu85783>5<52;n9<787b:x300=83:1>7?=6=4?:381`7?=>0>0qv9:6;294?4=:m8n6;76;|y413<729096?j<2;4:`>{|?<<1<7>52;0g72<1i;1vw:;9:183>7<5l:h1:l84}z562?6=8381>i:?:7ca?x}0=?0;6=4=:3f70?0fn2wp;8850;296?4c<00=n95r{675>5<72;09h9j56c:8y~12>3:1<7<52e71>3dc3tq<9;4?:181>7b2>3:7>50;096a032?im7pu85783>5<52;n=478k3:x300=83:1>7?=6=4?:381`27=>mi0qv9:6;294?4=:m=>6;k?;|y413<729096?j8a;4f1>{|?<<1<7>52;0g3`<1m01vw:;9:183>7<5l191:hk4}z562?6=8381>i68:7d1?x}0=?0;6=4=:3f;g?0a?2wp;8850;296?4c190=jo5r{675>5<72;09h4;57128y~12>3:1<7<52e;:>2633tq<9;4?:181>7b>m3=;56st74494?6=:38om?480e9~231290;6?4=d`59344:7>50;096age2>;=7pu85783>5<52;ni<79>b:x300=83:1>7?=6=4?:381`g?=?;>0qv9:6;294?4=:mho6:<7;|y413<729096?jl1;51g>{|?<<1<7>52;0gg3<0;81vw:;9:183>7<5ljk1;>;4}z562?6=8381>imi:61b?x}0=?0;6=4=:3fg7?14m2wp;8850;296?4cl10<8>5r{675>5<72;09him57558y~12>3:1<7<52eg2>22d3tq<9;4?:181>7bb=3=><6st74494?6=:38oil48549~231290;6?4=ddg930?:7>50;096a`42>?n7pu85783>5<52;nm;7992:x300=83:1>7?=6=4?:381a56=??h0qv9:6;294?4=:l:>6:9?;|y413<729096?k?9;540>{|?<<1<7>52;0f4`<0?01vw:;9:183>7<5m881;:j4}z562?6=8381>h?9:6:2?x}0=?0;6=4=:3g2f?1?>2wp;8850;296?4b:90<4o5r{675>5<72;09i?;57828y~12>3:1<7<52d0:>2?33tq<9;4?:181>7c5m3=256st74494?6=:38n?>489d9~231290;6?4=e2593d4:7>50;096`5d2>k<7pu85783>5<52;o?=79nc:x300=83:1>7?=6=4?:381a1g=?k?0qv9:6;294?4=:l>m6:ln;|y413<729096?k:5;5`4>{|?<<1<7>52;0f1d<0k<1vw:;9:183>7<5m?:1;nl4}z562?6=8381>h8::6f3?x}0=?0;6=4=:3g5e?1c=2wp;8850;296?4b?905<72;09i:;57d28y~12>3:1<7<52d5a>2c13tq<9;4?:181>7c?83=nn6st74494?6=:38n4948eg9~231290;6?4=e9;93c2:7>50;096`>b2>l27pu85783>5<52;o2?79ie:x300=83:1>7?=6=4?:381ak;|y413<729096?kn7;:26>{|?<<1<7>52;0fef1vw:;9:183>7<5mk;14hl9:902?x}0=?0;6=4=:3gag?>5?2wp;8850;296?4bk803>n5r{675>5<72;09in958208y~12>3:1<7<52da`>=503tq<9;4?:181>7cc8328n6st74494?6=:38nh847419~231290;6?4=eec9<13:7>50;096`c721>i7pu85783>5<52;on976:0:x300=83:1>7?=6=4?:381ac6=0{|?<<1<7>52;0fb`01vw:;9:183>7<5n9814;j4}z562?6=8381>k>8:951?x}0=?0;6=4=:3d3f?>0>2wp;8850;296?4a9903;o5r{675>5<72;09j<:586d8y~12>3:1<7<52g3:>=>33tq<9;4?:181>7`6l32346st74494?6=:38m>?478e9~231290;6?4=f349<<7:7>50;096c4e213=7pu85783>5<52;l9j766a:x300=83:1>7?=6=4?:381b6>=0h90qv9:6;294?4=:o9o65o7;|y413<729096?h;1;:bg>{|?<<1<7>52;0e037<5n=k14o;4}z562?6=8381>k:i:9`b?x}0=?0;6=4=:3d67?>em2wp;8850;296?4a=103o>5r{675>5<72;09j8m58b58y~12>3:1<7<52g42>=ed3tq<9;4?:181>7`1=32o<6st74494?6=:38m:l47d49~231290;6?4=f7g9:7>50;096c1421nn7pu85783>5<52;l<;76j2:x300=83:1>7?=6=4?:381b=6=0lh0qv9:6;294?4=:o2>65h?;|y413<729096?h79;:e0>{|?<<1<7>52;0e<`7<5n0814kj4}z562?6=8381>k78:821?x}0=?0;6=4=:3d:f??7>2wp;8850;296?4ai9025<72;09jl:591d8y~12>3:1<7<52gc:><733tq<9;4?:181>7`fl33:46st74494?6=:38mn?461e9~231290;6?4=fc49=77:7>50;096cde208=7pu85783>5<52;lij77=a:x300=83:1>7?=6=4?:381bf>=1:90qv9:6;294?4=:oio64=7;|y413<729096?hk1;;0g>{|?<<1<7>52;0e`3<><81vw:;9:183>7<5nmk159;4}z562?6=8381>kji:86b?x}0=?0;6=4=:3df7??3m2wp;8850;296?4am1029>5r{675>5<72;09jhm59458y~12>3:1<7<52gd2><3d3tq<9;4?:181>7`a=33=<6st74494?6=:38mjl46649~231290;6?4=fgg9=3?:7>50;097564205<52::;;7782:x300=83:1>7=?0b8:32=zs>?=6=4?:380446=1>h0qv9:6;294?4=;9;>646?;|y413<729096>>>9;;;0>{|?<<1<7>52;135`<>001vw:;9:183>7<48;8155j4}z562?6=8381?=<8:8;1?x}0=?0;6=4=:221f??>>2wp;8850;296?57;9025o5r{675>5<72;08<>:598d8y~12>3:1<7<5311:>664l33j46st74494?6=:39;8?46ae9~231290;6?4<0549=g7:7>50;09752e20h=7pu85783>5<52::?j77ma:x300=83:1>7=?558:fc=zs>?=6=4?:38040>=1j90qv9:6;294?4=;9?o64m7;|y413<729096>>91;;`g>{|?<<1<7>52;1323<>l81vw:;9:183>7<48?k15i;4}z562?6=8381?=8i:8fb?x}0=?0;6=4=:2247??cm2wp;8850;296?57?102i>5r{675>5<72;08<:m59d58y~12>3:1<7<531:2>66?=33m<6st74494?6=:39;4l46f49~231290;6?4<09g9=c?:7>50;0975?420ln7pu85783>5<52::2;7o?2:x300=83:1>7=?9b8b42=zs>?=6=4?:3804d6=i9h0qv9:6;294?4=;9k>6l??;|y413<729096>>n9;c20>{|?<<1<7>52;13e`7<48k81mn5r{675>5<72;083:1<7<531ab>d523tq<9;4?:181>66dn3k8m6st74494?6=:39;h>4n3d9~231290;6?4<0e:9e15:7>50;0975bc2h>37pu85783>5<52::n=7o;c:x300=83:1>7=?e78b14=zs>?=6=4?:3804`g=i>i3;c6a>{|?<<1<7>52;13b=:1vw:;9:183>7<48oi1m;94}z562?6=8381?<>>:`4`?x}0=?0;6=4=:2331?g082wp;8850;296?568h0j;85r{675>5<72;08==h5a6c8y~12>3:1<7<53037>d1a3tq<9;4?:181>67613k386st74494?6=:39:=i4n899~231290;6?4<1309e=b:7>50;0974402h397pu85783>5<52:;9o7o67:x300=83:1>7=>318b=g=zs>?=6=4?:380563=ih:0qv9:6;294?4=;8926lo;;|y413<729096>?{|?<<1<7>52;12077<49==1mo<4}z562?6=8381?<:m:``5?x}0=?0;6=4=:2364?gej2wp;8850;296?56==0jnk5r{675>5<72;08=875ab68y~12>3:1<7<5307f>de>3tq<9;4?:181>671;3khi6st74494?6=:39::54nd29~231290;6?4<17a9ea1:7>50;0974162hnh7pu85783>5<52:;<97oj0:x300=83:1>7=>7`8ba0=zs>?=6=4?:38052`=ilk0qv9:6;294?4=;8286lkj;|y413<729096>?78;ce7>{|?<<1<7>52;121vw:;9:183>7<490;1mkm4}z562?6=8381?<7::c23?x}0=?0;6=4=:23:e?d7=2wp;8850;296?561l0i<45r{675>5<72;08=l=5b1g8y~12>3:1<7<530c4>g753tq<9;4?:181>67fk3h:;6st74494?6=:39:n<4m1b9~231290;6?4<1c49f77:7>50;0974de2k8=7pu85783>5<52:;ij7l=a:x300=83:1>7=>c58a6c=zs>?=6=4?:3805f?=j:>0qv9:6;294?4=;8in6o=6;|y413<729096>?k2;`0`>{|?<<1<7>52;12`27<49mh1n984}z562?6=8381?5<72;08=hj5b4:8y~12>3:1<7<530d1>g3c3tq<9;4?:181>67a>3h==6st74494?6=:39:jo4m679~231290;6?4<1gd9f3g:7>50;0977632k5<52:8;47l83:x300=83:1>7==0e8a3==zs>?=6=4?:380647=j>i0qv9:6;294?4=;;;=6o6>;|y413<729096><>a;`;1>{|?<<1<7>52;115c7<4:;91n5k4}z562?6=8381??<7:c;0?x}0=?0;6=4=:201`?d>02wp;8850;296?55;80i5n5r{675>5<72;08>>85b`38y~12>3:1<7<5331b>gg23tq<9;4?:181>644n3hjm6st74494?6=:3998>4mad9~231290;6?4<25:9fg5:7>50;09772d2kh<7pu85783>5<52:8>=7lmc:x300=83:1>7==548ag5=zs>?=6=4?:38060g=jj?0qv9:6;294?4=;;?n6om6;|y413<729096><93;``a>{|?<<1<7>52;11227<4:?i1ni94}z562?6=8381??9?:cfa?x}0=?0;6=4=:2041?db82wp;8850;296?55?00ii95r{675>5<72;08>:k5bd;8y~12>3:1<7<533:1>gcc3tq<9;4?:181>64??3hm>6st74494?6=:3994n4mf69~231290;6?4<2829fcd:7>50;0977?22j:;7pu85783>5<52:8257m?4:x300=83:1>7==9d8`4<=zs>?=6=4?:3806d4=k9n0qv9:6;294?4=;;k<6n?=;|y413<729096>{|?<<1<7>52;11f57<4:k>1oi5r{675>5<72;08>n85c238y~12>3:1<7<533aa>f513tq<9;4?:181>64dn3i8m6st74494?6=:399h94l3g9~231290;6?4<2e:9g15:7>50;0977bc2j>37pu85783>5<52:8n=7m;c:x300=83:1>7==e78`14=zs>?=6=4?:3806`d=k<<0qv9:6;294?4=;;om6n;n;|y413<729096>{|?<<1<7>52;11b=:1vw:;9:183>7<4:on1o;64}z562?6=8381?>>>:b4`?x}0=?0;6=4=:2132?e092wp;8850;296?548h0h;85r{675>5<72;08?=h5c6c8y~12>3:1<7<53230>f1b3tq<9;4?:181>65603i3?6st74494?6=:398=n4l869~231290;6?4<3339g=e:7>50;0976422j3;7pu85783>5<52:99m7m65:x300=83:1>7=<2d8`=<=zs>?=6=4?:380765=k0o0qv9:6;294?4=;:9<6no=;|y413<729096>={|?<<1<7>52;10057<4;=?1oo>4}z562?6=8381?>:n:b`6?x}0=?0;6=4=:217b?eei2wp;8850;296?54=:0hnh5r{675>5<72;08?865cb18y~12>3:1<7<5327`>fe03tq<9;4?:181>65193iho6st74494?6=:398:84ld19~231290;6?4<37c9ga3:7>50;09760b2jn27pu85783>5<52:97=<768`a7=zs>?=6=4?:38072e=kl=0qv9:6;294?4=;:2;6nkm;|y413<729096>=75;ae4>{|?<<1<7>52;10<<7<4;1o1ok74}z562?6=8381?>7=:bdg?x}0=?0;6=4=:21:3?b7:2wp;8850;296?541k0o<;5r{675>5<72;08?l>5d1`8y~12>3:1<7<532c7>a6a3tq<9;4?:181>65f03n:?6st74494?6=:398mi4k199~231290;6?4<3c39`4e:7>50;0976d12m8:7pu85783>5<52:9im7j=5:x300=83:1>7=?=6=4?:3807f5=l;o0qv9:6;294?4=;:i36i=<;|y413<729096>=lc;f03>{|?<<1<7>52;10`47<4;m?1h9>4}z562?6=8381?>jn:e66?x}0=?0;6=4=:21ga?b312wp;8850;296?54m:0o8h5r{675>5<72;08?h95d408y~12>3:1<7<532g`>a303tq<9;4?:181>65a83n>n6st74494?6=:398j84k619~231290;6?4<3g;9`32:7>50;0976`b2m<27pu85783>5<52:>;>7j9d:x300=83:1>7=;078g34=zs>?=6=4?:38005b=l>20qv9:6;294?4=;=;96i9k;|y413<729096>:>6;f;5>{|?<<1<7>52;175g7<4<;;1h5m4}z562?6=8381?9<9:e;2?x}0=?0;6=4=:261g?b>?2wp;8850;296?53;80o5n5r{675>5<72;088>;5d`28y~12>3:1<7<5351b>ag23tq<9;4?:181>624m3nj56st74494?6=:39?8>4kad9~231290;6?4<4559`g4:7>50;09712d2mh<7pu85783>5<52:>><7jmb:x300=83:1>7=;548gg5=zs>?=6=4?:38000d=lj<0qv9:6;294?4=;=<;6imm;|y413<729096>:95;fg4>{|?<<1<7>52;172<7<45<72;088:h5ddc8y~12>3:1<7<535:7>aca3tq<9;4?:181>62?03nm?6st74494?6=:39?4i4kf99~231290;6?4<4839`ce:7>50;0971?12l::7pu85783>5<52:>2m7k?5:x300=83:1>7=;9g8f4d=zs>?=6=4?:3800d5=m9o0qv9:6;294?4=;=k36h?<;|y413<729096>:nc;g23>{|?<<1<7>52;17f47<44}z562?6=8381?9ln:d06?x}0=?0;6=4=:26aa?c512wp;8850;296?53k:0n>h5r{675>5<72;088n95e208y~12>3:1<7<535a`>`503tq<9;4?:181>62c83o8n6st74494?6=:39?h84j419~231290;6?4<4e;9a12:7>50;0971bb2l>27pu85783>5<52:>n>7k;d:x300=83:1>7=;e68f17=zs>?=6=4?:3800`d=m<<0qv9:6;294?4=;=l;6h;m;|y413<729096>:i4;g6b>{|?<<1<7>52;17b<=1vw:;9:183>7<4=:d4g?x}0=?0;6=4=:2732?c092wp;8850;296?528k0n;;5r{675>5<72;089=h5e6c8y~12>3:1<7<53437>`1a3tq<9;4?:181>63603o3?6st74494?6=:39>=i4j899~231290;6?4<5339a=e:7>50;0970412l3:7pu85783>5<52:?9m7k65:x300=83:1>7=:2g8f=d=zs>?=6=4?:380165=m0o0qv9:6;294?4=;<936ho<;|y413<729096>;{|?<<1<7>52;16047<4==?1io>4}z562?6=8381?8:n:d`6?x}0=?0;6=4=:2765?cem2wp;8850;296?52=10no:5r{675>5<72;0898h5ee28y~12>3:1<7<53445>`b>3tq<9;4?:181>631l3on>6st74494?6=:39>;94jec9~231290;6?4<56`9ac2:7>50;0970>52llo7pu85783>5<52:?357h?6:x300=83:1>7=:918e4c=zs>?=6=4?:3801<1=n820qv9:6;294?4=;<3n6k<>;|y413<729096>;n5;d1e>{|?<<1<7>52;16ef7<4=k91j>m4}z562?6=8381?8ln:g66?x}0=?0;6=4=:27`5?`3m2wp;8850;296?52k10m9:5r{675>5<72;089nh5f728y~12>3:1<7<534f5>c0>3tq<9;4?:181>63cl3l<>6st74494?6=:39>i94i7c9~231290;6?4<5d`9b=2:7>50;0970`52o2o7pu85783>5<52:?m57h66:x300=83:1>7=9018e=c=zs>?=6=4?:380252=nh90qv9:6;294?4=;?:36ko8;|y413<729096>8?d;dbg>{|?<<1<7>52;15547<4>8<1jo;4}z562?6=8381?;?n:g`:?x}0=?0;6=4=:242b?`em2wp;8850;296?51::0mo?5r{675>5<72;08:?65fb58y~12>3:1<7<5370`>cee3tq<9;4?:181>60493lo<6st74494?6=:39=?84id59~231290;6?4<62c9ba?:7>50;09735b2ono7pu85783>5<52:7=9468ea3=zs>?=6=4?:38021e=nlh0qv9:6;294?4=;??;6kki;|y413<729096>8:5;de0>{|?<<1<7>52;151<7<4>{|?<<1<7>52;1523<689?0qv9:6;294?4=;??a:x300=83:1>7=96g8245c:7>50;09731328::?6st74494?6=:39=;54>0058y~12>3:1<7<5375g>466k2wp;8850;296?51080:4}z562?6=8381?;69:0211>{|?<<1<7>52;15=e:x300=83:1>7=99282464:7>50;0973??28:8;6st74494?6=:39=5n4>02`8y~12>3:1<7<537c2>46382wp;8850;296?51i<0:<9:4}z562?6=8381?;on:027=>{|?<<1<7>52;15e`<68=n0qv9:6;294?4=;?h96<>:1:x300=83:1>7=9b682400:7>50;0973de28:>m6st74494?6=:39=o=4>04d8y~12>3:1<7<537a7>461;2wp;8850;296?51k00:<;64}z562?6=8381?;mk:025g>{|?<<1<7>52;15`7<68>;0qv9:6;294?4=;?n=6<>85:x300=83:1>7=9dc8242g:7>50;0973ba28:0918y~12>3:1<7<537g;>46??2wp;8850;296?51mm0:<5m4}z562?6=8381?;h>:02:4>{|?<<1<7>52;15b3<680?0qv9:6;294?4=;?lj6<>69:x300=83:1>7=9fg824:7>50;09726428:j>6st74494?6=:39<<:4>0`48y~12>3:1<7<5362`>46fj2wp;8850;296?50990:{|?<<1<7>52;145<<68k20qv9:6;294?4=;>;n6<>md:x300=83:1>7=823824f7:7>50;09724028:h:6st74494?6=:39<>o4>0bc8y~12>3:1<7<53613>46dn2wp;8850;296?50;=0:{|?<<1<7>52;147a<68mi0qv9:6;294?4=;>>96<>j1:x300=83:1>7=847824`3:7>50;09722e28:nm6st74494?6=:39<8k4>0dg8y~12>3:1<7<53677>46a;2wp;8850;296?50=10:{|?<<1<7>52;1424<699:0qv9:6;294?4=;><>67=86`8255?:7>50;09720b28;;h6st74494?6=:39<;>4>1008y~12>3:1<7<53654>476>2wp;8850;296?50?j0:={|?<<1<7>52;14<0<69;>0qv9:6;294?4=;>2267=88d8257b:7>50;0972?528;8=6st74494?6=:39<5:4>1248y~12>3:1<7<536;a>474i2wp;8850;296?50i90:=>h4}z562?6=8381?:o;:0377>{|?<<1<7>52;14e=<69==0qv9:6;294?4=;>ko67=8b082506:7>50;0972d128;>96st74494?6=:3914;8y~12>3:1<7<536`e>472m2wp;8850;296?50k:0:=;<4}z562?6=8381?:m7:0353>{|?<<1<7>52;14gf<69?h0qv9:6;294?4=;>n:67=8d482522:7>50;0972bf28;<56st74494?6=:3916f8y~12>3:1<7<536g0>47?:2wp;8850;296?50m>0:=584}z562?6=8381?:kl:03;f>{|?<<1<7>52;14b6<690>0qv9:6;294?4=;>lj67=700825d0:7>50;097=6?28;jj6st74494?6=:3931c:8y~12>3:1<7<53935>47d92wp;8850;296?5?9m0:=no4}z562?6=8381?5<;:03g7>{|?<<1<7>52;1;6g<69mi0qv9:6;294?4=;19967=738825`c:7>50;097=2728;m;6st74494?6=:3938:4>2128y~12>3:1<7<5396f>44712wp;8850;296?5?=<0:><<4}z562?6=8381?5;l:002f>{|?<<1<7>52;1;26<6:;>0qv9:6;294?4=;17=77082660:7>50;097=1?2888j6st74494?6=:393;k4>2548y~12>3:1<7<539:5>443l2wp;8850;296?5?0m0:>8:4}z562?6=8381?57;:006f>{|?<<1<7>52;1;=g<6:?80qv9:6;294?4=;1k96<<99:x300=83:1>7=7a882626:7>50;097=d7288<;6st74494?6=:393n:4>26g8y~12>3:1<7<539`f>44?=2wp;8850;296?5?k<0:>5m4}z562?6=8381?5ml:00:7>{|?<<1<7>52;1;`6<6:0k0qv9:6;294?4=;1nj6<7=7e0826d>:7>50;097=c?288jj6st74494?6=:393ik4>2c48y~12>3:1<7<539d5>44el2wp;8850;296?5?nm0:>n:4}z562?6=8381?4>;:00`f>{|?<<1<7>52;1:4g<6:m>0qv9:6;294?4=;0;96<7=618826`0:7>50;097<47288nj6st74494?6=:392>:4>2g:8y~12>3:1<7<5380`>44al2wp;8850;296?5>;;0:?==4}z562?6=8381?4=7:013=>{|?<<1<7>52;1:7f<6;9n0qv9:6;294?4=;0>96<=>3:x300=83:1>7=6498274?:7>50;097<2b289:j6st74494?6=:3929>4>3368y~12>3:1<7<5387;>45512wp;8850;296?5>=l0:??h4}z562?6=8381?48=:0107>{|?<<1<7>52;1:23<6;:=0qv9:6;294?4=;07=66g82716:7>50;097<14289?86st74494?6=:392;:4>35:8y~12>3:1<7<5385a>453k2wp;8850;296?5>?o0:?8>4}z562?6=8381?46<:0160>{|?<<1<7>52;1:<2<6;<20qv9:6;294?4=;02i6<=:c:x300=83:1>7=68g82736:7>50;09737`8y~12>3:1<7<538;f>451n2wp;8850;296?5>i;0:?:=4}z562?6=8381?4o9:0143>{|?<<1<7>52;1:ed<6;>h0qv9:6;294?4=;0kn6<=8f:x300=83:1>7=6b3827=5:7>50;09739`8y~12>3:1<7<538`f>45?n2wp;8850;296?5>k;0:?4=4}z562?6=8381?4m9:01:3>{|?<<1<7>52;1:gd<6;0h0qv9:6;294?4=;0in6<=6f:x300=83:1>7=6d5827d3:7>50;0973c38y~12>3:1<7<538g5>45e?2wp;8850;296?5>mj0:?oj4}z562?6=8381?4h>:01`6>{|?<<1<7>52;1:b2<6;j20qv9:6;294?4=;0lh6<=ld:x300=83:1>7=n03827a5:7>50;097d60289o46st74494?6=:39j3ef8y~12>3:1<7<53`31>45b;2wp;8850;296?5f910:?h74}z562?6=8381?l?j:01fb>{|?<<1<7>52;1b61<6;o?0qv9:6;294?4=;h8j6<=ib:x300=83:1>7=n2g82056:7>50;097d5428>;86st74494?6=:39j?44>41c8y~12>3:1<7<53`1e>42682wp;8850;296?5f<=0:8<;4}z562?6=8381?l:n:062f>{|?<<1<7>52;1b15<6<;;0qv9:6;294?4=;h?>6<:=6:x300=83:1>7=n588207g:7>50;097d3c28>9i6st74494?6=:39j:>4>4268y~12>3:1<7<53`4;>42412wp;8850;296?5f>j0:8>j4}z562?6=8381?l9=:0677>{|?<<1<7>52;1b33<6<==0qv9:6;294?4=;h=h6<:;d:x300=83:1>7=n8382005:7>50;097d>128>>;6st74494?6=:39j4l4>44`8y~12>3:1<7<53`;3>42192wp;8850;296?5f1<0:8;84}z562?6=8381?l76:065e>{|?<<1<7>52;1b=c<6<>:0qv9:6;294?4=;hk96<:83:x300=83:1>7=na482020:7>50;097dg?28><56st74494?6=:39jmo4>46a8y~12>3:1<7<53`cf>420n2wp;8850;296?5fj80:85<4}z562?6=8381?ll;:06;1>{|?<<1<7>52;1bf2<6<120qv9:6;294?4=;hhj6<:7b:x300=83:1>7=nbe820=c:7>50;097de728>2=6st74494?6=:39jo>4>4868y~12>3:1<7<53`a5>42>?2wp;8850;296?5fk00:84o4}z562?6=8381?lml:06:`>{|?<<1<7>52;1bgc<67=nd4820d0:7>50;097db?28?;h6st74494?6=:39jhn4>5038y~12>3:1<7<53`g2>436>2wp;8850;296?5fm>0:9{|?<<1<7>52;1bac<6=:>0qv9:6;294?4=;hl?6<;<9:x300=83:1>7=nf`8216`:7>50;097d`b28?nj6st74494?6=:39i<>4>5g68y~12>3:1<7<53c2;>40792wp;8850;296?5e8j0::=;4}z562?6=8381?o??:043=>{|?<<1<7>52;1a51<6>9n0qv9:6;294?4=;k;36<8>1:x300=83:1>7=m1d82241:7>50;097g4328<:h6st74494?6=:39i>l4>6318y~12>3:1<7<53c13>40512wp;8850;296?5e;=0::?j4}z562?6=8381?o=n:0407>{|?<<1<7>52;1a7c<6>:20qv9:6;294?4=;k>86<87=m4882214:7>50;097g2a2865f8y~12>3:1<7<53c7:>402:2wp;8850;296?5e=l0::894}z562?6=8381?o8<:046g>{|?<<1<7>52;1a2<<6>?80qv9:6;294?4=;k7=m738223d:7>50;097g1028<<<6st74494?6=:39i;n4>6678y~12>3:1<7<53c:2>400i2wp;8850;296?5e0?0:::h4}z562?6=8381?o6l:04;1>{|?<<1<7>52;1a=7<6>1h0qv9:6;294?4=;k336<861:x300=83:1>7=m9d822<1:7>50;097gg328<2h6st74494?6=:39iml4>6`18y~12>3:1<7<53c`3>40f12wp;8850;296?5ej?0::lh4}z562?6=8381?oll:04a1>{|?<<1<7>52;1ag7<6>kh0qv9:6;294?4=;ki36<8l1:x300=83:1>7=mcd822f1:7>50;097gb3286e18y~12>3:1<7<53cg3>40c12wp;8850;296?5em<0::ik4}z562?6=8381?okm:04f0>{|?<<1<7>52;1ab5<6>l30qv9:6;294?4=;kl>6<8je:x300=83:1>7=mf`822c5:7>50;097g`a286gf8y~12>3:1<7<53b2:>417:2wp;8850;296?5d8l0:;=94}z562?6=8381?n?<:053g>{|?<<1<7>52;1`5=<6?8;0qv9:6;294?4=;j;n6<9>7:x300=83:1>7=l258234b:7>50;097f4f28=9?6st74494?6=:39h?=4>73;8y~12>3:1<7<53b15>415n2wp;8850;296?5d;j0:;>;4}z562?6=8381?n:=:050f>{|?<<1<7>52;1`0=<6?=;0qv9:6;294?4=;j>n6<9;7:x300=83:1>7=l558231b:7>50;097f3f28=>?6st74494?6=:39h:=4>74;8y~12>3:1<7<53b45>412n2wp;8850;296?5d>j0:;;;4}z562?6=8381?n9=:055f>{|?<<1<7>52;1`3=<6?>;0qv9:6;294?4=;j=n6<987:x300=83:1>7=l858232b:7>50;097f>f28=3?6st74494?6=:39h5=4>79;8y~12>3:1<7<53b;5>41?n2wp;8850;296?5d1j0:;4;4}z562?6=8381?no=:05:f>{|?<<1<7>52;1`e2<6?h:0qv9:6;294?4=;jkh6<9n5:x300=83:1>7=lb0823dg:7>50;097fd128=jj6st74494?6=:39hnn4>7c78y~12>3:1<7<53ba1>41ej2wp;8850;296?5dk10:;n?4}z562?6=8381?nmj:05`3>{|?<<1<7>52;1``1<6?jn0qv9:6;294?4=;jnj6<9k3:x300=83:1>7=le1823a?:7>50;097fc128=oj6st74494?6=:39hin4>7d78y~12>3:1<7<53bd1>41bj2wp;8850;296?5dn10:;k?4}z562?6=8381?nhl:05e1>{|?<<1<7>52;1g47<6?oh0qv9:6;294?4=;m:<6<6?0:x300=83:1>7=k0b82<53:7>50;097a76282;m6st74494?6=:39o=;4>81d8y~12>3:1<7<53e3a>4>6<2wp;8850;296?5c:90:4<74}z562?6=8381?i<;:0:2`>{|?<<1<7>52;1g6=<60;;0qv9:6;294?4=;m8h6<6=5:x300=83:1>7=k3182<7?:7>50;097a532829h6st74494?6=:39o?54>8238y~12>3:1<7<53e1`>4>4=2wp;8850;296?5c<90:4>74}z562?6=8381?i:;:0:0`>{|?<<1<7>52;1g0=<60=;0qv9:6;294?4=;m>h6<6;5:x300=83:1>7=k5182<1?:7>50;097a33282?h6st74494?6=:39o954>8438y~12>3:1<7<53e7`>4>2=2wp;8850;296?5c>90:4874}z562?6=8381?i8::0:6a>{|?<<1<7>52;1g2<<60?80qv9:6;294?4=;m7=k7482<3c:7>50;097a1e282<86st74494?6=:39o4<4>86c8y~12>3:1<7<53e:4>4>?82wp;8850;296?5c0j0:45;4}z562?6=8381?i7=:0:;f>{|?<<1<7>52;1g==<600;0qv9:6;294?4=;m3o6<666:x300=83:1>7=ka382<:7>50;097ag?282j=6st74494?6=:39omh4>8`58y~12>3:1<7<53e`0>4>fk2wp;8850;296?5cj10:4o?4}z562?6=8381?ilj:0:a3>{|?<<1<7>52;1gg1<60kn0qv9:6;294?4=;mij6<6l3:x300=83:1>7=kd182:7>50;097ab1282hj6st74494?6=:39ohl4>8e18y~12>3:1<7<53eff>4>c?2wp;8850;296?5cm;0:4il4}z562?6=8381?ik9:0:gb>{|?<<1<7>52;1gad<60l90qv9:6;294?4=;mon6<6j7:x300=83:1>7=kf582<`b:7>50;097a`?282m=6st74494?6=:39ojn4>8g78y~12>3:1<7<53d22>4>ai2wp;8850;296?5b8>0:5=>4}z562?6=8381?h>l:0;31>{|?<<1<7>52;1f55<61930qv9:6;294?4=;l;?6<7?d:x300=83:1>7=j1882=44:7>50;097`7a283:46st74494?6=:39n>94>90f8y~12>3:1<7<53d0b>4?5j2wp;8850;296?5b;80:5><4}z562?6=8381?h=7:0;0=>{|?<<1<7>52;1f7`<61=?0qv9:6;294?4=;l>:6<7;e:x300=83:1>7=j4582=07:7>50;097`20283>86st74494?6=:39n8l4>9458y~12>3:1<7<53d6g>4?2i2wp;8850;296?5b=90:58j4}z562?6=8381?h;<:0;54>{|?<<1<7>52;1f13<61?90qv9:6;294?4=;l?26<796:x300=83:1>7=j5b82=3?:7>50;097`3a283=o6st74494?6=:39n:?4>97d8y~12>3:1<7<53d46>4?0:2wp;8850;296?5b>10:5:;4}z562?6=8381?h8m:0;4<>{|?<<1<7>52;1f2`<61>h0qv9:6;294?4=;l=:6<78e:x300=83:1>7=j7582==7:7>50;097`10283386st74494?6=:39n;l4>9958y~12>3:1<7<53d5g>4??i2wp;8850;296?5b090:55j4}z562?6=8381?h6<:0;:4>{|?<<1<7>52;1f<3<61090qv9:6;294?4=;l226<766:x300=83:1>7=j8b82=:7>50;097`>a2832o6st74494?6=:39n5?4>98d8y~12>3:1<7<53d;6>4?f:2wp;8850;296?5b110:5l;4}z562?6=8381?h7m:0;b<>{|?<<1<7>52;1f=`<61hh0qv9:6;294?4=;lk:6<7ne:x300=83:1>7=ja582=g7:7>50;097`g0283i86st74494?6=:39nml4>9c58y~12>3:1<7<53dcg>4?ei2wp;8850;296?5bj90:5oj4}z562?6=8381?hl<:0;`4>{|?<<1<7>52;1ff3<61j90qv9:6;294?4=;lh26<7l6:x300=83:1>7=jbb82=f?:7>50;097`da283ho6st74494?6=:39no?4>9bd8y~12>3:1<7<53da6>4?c:2wp;8850;296?5bk10:5i;4}z562?6=8381?hmm:0;g<>{|?<<1<7>52;1fg`<61mh0qv9:6;294?4=;ln:6<7ke:x300=83:1>7=jd582=`7:7>50;097`b0283n86st74494?6=:39nhl4>9gd8y~12>3:1<7<53dfg>4g7:2wp;8850;296?5bm90:m=;4}z562?6=8381?hk<:0c3<>{|?<<1<7>52;1fa3<6i9h0qv9:6;294?4=;lo267=jeb82e47:7>50;097`ca28k:86st74494?6=:39nj?4>a058y~12>3:1<7<53dd6>4g6i2wp;8850;296?5bn10:m{|?<<1<7>52;1fb`<6i;90qv9:6;294?4=;o:867=i0882e7c:7>50;097c6b28k8?6st74494?6=:39m=94>a2;8y~12>3:1<7<53g3:>4g4m2wp;8850;296?5a9o0:m9:4}z562?6=8381?k<;:0c7=>{|?<<1<7>52;1e6d<6i=l0qv9:6;294?4=;o8m67=i3582e0?:7>50;097c5f28k>j6st74494?6=:39m?k4>a768y~12>3:1<7<53g67>4g112wp;8850;296?5a<10:m;j4}z562?6=8381?k:k:0c46>{|?<<1<7>52;1e16<6i>20qv9:6;294?4=;o?267=i5d82e=0:7>50;097c0528k3h6st74494?6=:39m:;4>a868y~12>3:1<7<53g4a>4g>k2wp;8850;296?5a?80:ml;4}z562?6=8381?k98:0cba>{|?<<1<7>52;1e3a<6ik=0qv9:6;294?4=;o2967=i8682ef1:7>50;097c>c28ko<6st74494?6=:39m5<4>ae58y~12>3:1<7<53g;6>4gcm2wp;8850;296?5a100:mh;4}z562?6=8381?k7k:0cfg>{|?<<1<7>52;1ee4<6io90qv9:6;294?4=;ok>67=ia882f57:7>50;097cgc28h;46st74494?6=:39mn>4>b038y~12>3:1<7<53g`:>4d6i2wp;8850;296?5ajo0:n?=4}z562?6=8381?km::0`1g>{|?<<1<7>52;1eg<<6j:90qv9:6;294?4=;oio67=id282f15:7>50;097cb>28h?56st74494?6=:39mho4>b5`8y~12>3:1<7<53gfg>4d3l2wp;8850;296?5alo0:n9h4}z562?6=8381?kk>:0`65>{|?<<1<7>52;1ea6<6j<90qv9:6;294?4=;oo>67=ie682f01:7>50;097cc>28h>56st74494?6=:39mio4>b4`8y~12>3:1<7<53ggg>4d2l2wp;8850;296?5amo0:n8h4}z562?6=8381?kh>:0`55>{|?<<1<7>52;1eb6<6j?90qv9:6;294?4=;ol>67=if682f31:7>50;097c`>28h=56st74494?6=:39mjo4>b7`8y~12>3:1<7<53gdg>4d1l2wp;8850;296?5ano0:n;h4}z562?6=83818=>>:0;7<>{|?<21<7>52;6347<61=30qv9:8;294?4=<9:86<7;a:x300=83:1>7:?0b82=6`47>50;09056c283?<6st74:94?6=:3>;

9538y~12>3:1<7;54134>74=:=0>9<4:cg9~231290;6:>5413;>4g?;3;j4l4>a8395d?>28kj>7?nac82eg2=9hhh61=ljm:0cf6?7fm00:mk>51`d4>4gam3;i<84>b1g95g7028h9<7?m2882f66=9k9<6:06a1?73j00:8oj515a2>42d=3;?o44>4bf951b628>o97?;d8820ab=9=o:6<:j5;37a<<63;?j44:d5820cb=9<::6<;?5;364<<6=;:1=8<;:071433j3;>8k4>5419503028?>n7?:5g82135=9<<<6<;9b;362c<6=>91=898:074f?72?o0:95=514:4>43?j3;>4k4>581950?028?2n7?:9g821a5=90:9il514`a>43en3;>o>4>5ed950e028?hn7?:cg821`5=9m>0:5ho518gg>4?a83;2j>4>9g495<`>283mo6sr@ARxyEF \ No newline at end of file diff --git a/main_map.xrpt b/main_map.xrpt index 769b33b..08f3ed9 100644 --- a/main_map.xrpt +++ b/main_map.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
@@ -73,29 +73,29 @@ - - - + + +
- - - + + + - - + + - +
- +
- +
@@ -202,6 +202,15 @@ + + + + + + + + + @@ -210,7 +219,7 @@ - + @@ -219,7 +228,7 @@ - + @@ -228,7 +237,7 @@ - + @@ -237,7 +246,7 @@ - + @@ -246,7 +255,7 @@ - + @@ -255,7 +264,7 @@ - + @@ -264,7 +273,7 @@ - + @@ -273,7 +282,7 @@ - + @@ -286,7 +295,7 @@
- +
diff --git a/main_ngdbuild.xrpt b/main_ngdbuild.xrpt index de62f04..e52149d 100644 --- a/main_ngdbuild.xrpt +++ b/main_ngdbuild.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
@@ -70,53 +70,54 @@
+ - - - - - + + + + - - - - - - + + + + + + + - - - - - - + + + + + + - +
- - - - - - + + + + + - - - - - - + + + + + + + - - - - - - + + + + + + - +
diff --git a/main_pad.csv b/main_pad.csv index 0b8315e..221f335 100644 --- a/main_pad.csv +++ b/main_pad.csv @@ -1,7 +1,7 @@ #Release 14.1 - par P.15xf (nt) #Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -#Thu Feb 21 20:21:23 2013 +#Fri Feb 22 15:42:30 2013 # ## NOTE: This file is designed to be imported into a spreadsheet program @@ -34,7 +34,7 @@ A12,,DIFFS,IO_L02N_0,UNUSED,,0,,,,,,,,, A13,,DIFFM,IO_L01P_0,UNUSED,,0,,,,,,,,, A14,,,TDO,,,,,,,,,,,, B1,,DIFFS,IO_L01N_3,UNUSED,,3,,,,,,,,, -B2,,DIFFM,IO_L01P_3,UNUSED,,3,,,,,,,,, +B2,POUT,IOB,IO_L01P_3,OUTPUT,LVCMOS25*,3,12,SLOW,NONE**,,,LOCATED,NO,NONE, B3,,DIFFS,IO_L11N_0/HSWAP,UNUSED,,0,,,,,,,,, B4,,DIFFM,IO_L10P_0,UNUSED,,0,,,,,,,,, B5,,DIFFM,IO_L09P_0,UNUSED,,0,,,,,,,,, diff --git a/main_pad.txt b/main_pad.txt index bd04cab..6a75783 100644 --- a/main_pad.txt +++ b/main_pad.txt @@ -1,7 +1,7 @@ Release 14.1 - par P.15xf (nt) Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. -Thu Feb 21 20:21:23 2013 +Fri Feb 22 15:42:30 2013 INFO: The IO information is provided in three file formats as part of the Place and Route (PAR) process. These formats are: @@ -35,7 +35,7 @@ Pinout by Pin Number: |A13 | |DIFFM |IO_L01P_0 |UNUSED | |0 | | | | | | | | | |A14 | | |TDO | | | | | | | | | | | | |B1 | |DIFFS |IO_L01N_3 |UNUSED | |3 | | | | | | | | | -|B2 | |DIFFM |IO_L01P_3 |UNUSED | |3 | | | | | | | | | +|B2 |POUT |IOB |IO_L01P_3 |OUTPUT |LVCMOS25* |3 |12 |SLOW |NONE** | | |LOCATED |NO |NONE | |B3 | |DIFFS |IO_L11N_0/HSWAP |UNUSED | |0 | | | | | | | | | |B4 | |DIFFM |IO_L10P_0 |UNUSED | |0 | | | | | | | | | |B5 | |DIFFM |IO_L09P_0 |UNUSED | |0 | | | | | | | | | diff --git a/main_par.xrpt b/main_par.xrpt index d2af353..d4ee6c5 100644 --- a/main_par.xrpt +++ b/main_par.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
@@ -63,17 +63,17 @@
- +
- - + + - - + +
@@ -88,21 +88,30 @@ + + + + + + + + + - - - + + + - + - + - +
@@ -210,10 +219,18 @@ - + + - + + + + + + + + diff --git a/main_summary.html b/main_summary.html index d0c4a9d..aca7ec0 100644 --- a/main_summary.html +++ b/main_summary.html @@ -2,7 +2,7 @@ - + @@ -25,7 +25,7 @@ No Errors - + @@ -60,61 +60,61 @@ System Settings - + - + - + - + - + - + - + - - + + - + - + - + - + - + @@ -126,13 +126,13 @@ System Settings - + - + - + @@ -169,21 +169,21 @@ System Settings - - - - + + + + - - + +
main Project Status (02/21/2013 - 20:21:36)
main Project Status (02/22/2013 - 14:37:18)
Project File: pong.xise
Product Version:ISE 14.1
  • Warnings:
4 Warnings (0 new)15 Warnings (15 new)
Design Goal: Logic UtilizationUsedAvailableUtilizationNote(s)
Total Number Slice Registers4797 4,896 1%  
    Number used as Flip Flops4596      
    Number used as Latches21      
Number of 4 input LUTs58376 4,8961%7%  
Number of occupied Slices52249 2,4482%10%  
    Number of Slices containing only related logic5252249249 100%  
    Number of Slices containing unrelated logic 052249 0%  
Total Number of 4 input LUTs92450 4,8961%9%  
    Number used as logic58376      
    Number used as a route-thru3474        
Number of BUFGMUXs12 244%8%  
Average Fanout of Non-Clock Nets2.672.66      
Detailed Reports [-]
Report NameStatusGenerated ErrorsWarningsInfos
Synthesis ReportCurrentThu Feb 21 20:21:01 201303 Warnings (0 new)5 Infos (0 new)
Translation ReportCurrentThu Feb 21 20:21:06 2013000
Map ReportCurrentThu Feb 21 20:21:11 2013001 Info (0 new)
Place and Route ReportCurrentThu Feb 21 20:21:23 201301 Warning (0 new)2 Infos (0 new)
Synthesis ReportCurrentFri Feb 22 14:36:37 2013015 Warnings (15 new)3 Infos (3 new)
Translation ReportCurrentFri Feb 22 14:36:43 2013000
Map ReportCurrentFri Feb 22 14:36:48 2013001 Info (0 new)
Place and Route ReportCurrentFri Feb 22 14:37:04 2013002 Infos (0 new)
Power Report     
Post-PAR Static Timing ReportCurrentThu Feb 21 20:21:27 2013006 Infos (0 new)
Bitgen ReportCurrentThu Feb 21 20:21:32 2013000
Post-PAR Static Timing ReportCurrentFri Feb 22 14:37:07 2013006 Infos (0 new)
Bitgen ReportCurrentFri Feb 22 14:37:14 2013000
 
- - + +
Secondary Reports [-]
Report NameStatusGenerated
WebTalk ReportCurrentThu Feb 21 20:21:32 2013
WebTalk Log FileCurrentThu Feb 21 20:21:36 2013
WebTalk ReportOut of DateFri Feb 22 14:37:14 2013
WebTalk Log FileOut of DateFri Feb 22 14:37:18 2013
-
Date Generated: 02/21/2013 - 20:21:36
+
Date Generated: 02/22/2013 - 14:39:05
\ No newline at end of file diff --git a/main_summary.xml b/main_summary.xml index 7f94188..521bf8f 100644 --- a/main_summary.xml +++ b/main_summary.xml @@ -4,7 +4,7 @@ changes made to this file may result in unpredictable behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> - + diff --git a/main_usage.xml b/main_usage.xml index fa23101..572ef29 100644 --- a/main_usage.xml +++ b/main_usage.xml @@ -4,447 +4,447 @@ changes made to this file may result in unpredictable behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> - - - - - + + + + + - + - - - - - - - - - + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - + + + - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - + + + + + + + + + - - - - - - - - - - - - - + + + - - + + + + + + + + + + + + - + - - - + - - + + + + - - - - - - - - - - - - - - - - - - - - - - - - + + - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - + + - - - - - - - - - - - - + + + + + + + + + + + + - - + + - - - - + + + + - - + + - - - - + + + + - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - - + + - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + - - - - - - + + + + + + - - + + - - + + - - + + - - + + - + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - + + + + - - - - - - - - + + + + + + + + - - - - - - - - + + + + + + + + - - - - - - + + + + + + - - + + - + - + - - - - - - - - - - + + + + + + + + + + - - - - - - + + + + + + - - - - - - - - - - + + + + + + + + + + - - - - - - + + + + + + - - + + - - - - + + + + - - - - - - - - - + + + + + + + + + - - - - + + + + - - - - - - - - - - + + + + + + + + + + - - - - - - - - + + + + + + + + - - - - - - - - - - + + + + + + + + + + - - + + - - + + diff --git a/main_vhdl.prj b/main_vhdl.prj index 645d869..546e188 100644 --- a/main_vhdl.prj +++ b/main_vhdl.prj @@ -1,2 +1,3 @@ vhdl work "C:\fpga\pong\vga.vhd" +vhdl work "C:\fpga\pong\speaker.vhd" vhdl work "C:\fpga\pong\main.vhd" diff --git a/main_xst.xrpt b/main_xst.xrpt index 8dc415b..68b07f6 100644 --- a/main_xst.xrpt +++ b/main_xst.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
@@ -110,36 +110,42 @@
- + + - - + + + + + - +
- + + - - + + + - +
- - + +
@@ -156,56 +162,57 @@
- +
- + - - - - - + + + + + + - - - - - + + + + + - + - - - - - - + + + + + - + + - - + +
- - - - - - + + + + + +
- - + +
diff --git a/pins.ucf b/pins.ucf index 9e56910..c6c7256 100644 --- a/pins.ucf +++ b/pins.ucf @@ -1,5 +1,7 @@ NET "CLK" LOC = "M6"; +NET "POUT" LOC = "B2"; + NET "LED<0>" LOC = "M5"; NET "LED<1>" LOC = "M11"; NET "LED<2>" LOC = "P7"; diff --git a/pong.gise b/pong.gise index b8604a9..b997950 100644 --- a/pong.gise +++ b/pong.gise @@ -104,7 +104,7 @@ - + @@ -123,11 +123,11 @@ - + - + @@ -136,7 +136,7 @@ - + @@ -149,9 +149,8 @@ - + - @@ -164,7 +163,7 @@ - + @@ -176,7 +175,7 @@ - + diff --git a/pong.xise b/pong.xise index bbef0ff..27be933 100644 --- a/pong.xise +++ b/pong.xise @@ -21,11 +21,19 @@ - + + + + + + + + + diff --git a/ps2.vhd b/ps2.vhd new file mode 100644 index 0000000..c1b5f4a --- /dev/null +++ b/ps2.vhd @@ -0,0 +1,21 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; + +entity PS2Driver is + port ( + CLK: in std_logic; + CLR: in std_logic; + + PS2C: in std_logic; + PS2D: in std_logic; + + KEY: out std_logic_vector(15 downto 0) + ); +end PS2Driver; + +architecture Behavioral of PS2Driver is + +begin + +end Behavioral; + diff --git a/speaker.vhd b/speaker.vhd new file mode 100644 index 0000000..e602106 --- /dev/null +++ b/speaker.vhd @@ -0,0 +1,43 @@ +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + +-- period of 25 MHz oscillator is 40 ns + +entity speaker is + port ( + CLK: in std_logic; + + HPERIOD: in std_logic_vector(24 downto 0); + PLAY: in std_logic; + + PIN: out std_logic + ); +end speaker; + +architecture Behavioral of speaker is + +begin + + process (CLK) + variable sout: std_logic := '0'; + variable prescaler: std_logic_vector(24 downto 0) := (others => '0'); + begin + if CLK'event and CLK = '1' then + if PLAY = '1' then + if prescaler >= HPERIOD then + sout := not sout; + prescaler := (others => '0'); + end if; + prescaler := prescaler + 1; + else + sout := '0'; + prescaler := (others => '0'); + end if; + end if; + PIN <= sout; + end process; + +end Behavioral; + diff --git a/usage_statistics_webtalk.html b/usage_statistics_webtalk.html index 124209f..aa05d0e 100644 --- a/usage_statistics_webtalk.html +++ b/usage_statistics_webtalk.html @@ -17,7 +17,7 @@
- + @@ -29,7 +29,7 @@ - + @@ -55,18 +55,34 @@ @@ -90,57 +106,56 @@ @@ -149,29 +164,29 @@ @@ -183,13 +198,13 @@ - @@ -845,14 +859,498 @@
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • +
  • xst -intstyle ise -ifn <ise_file>
  • +
  • ngdbuild -intstyle ise -dd _ngo -nt timestamp -uc <fname>.ucf -p xc3s250e-cp132-5 <fname>.ngc <fname>.ngd
  • +
  • map -intstyle ise -p xc3s250e-cp132-5 -cm area -ir off -pr off -c 100 -o <fname>.ncd <fname>.ngd <fname>.pcf
  • +
  • par -w -intstyle ise -ol high -t 1 <fname>.ncd <fname>.ncd <fname>.pcf
  • +
  • trce -intstyle ise -v 3 -s 5 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
  • +
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • Project ID (random number)80ba5fe55946451bba1c27089be4c561.7EC662CDC4744D03A066C93D40613B74.5880ba5fe55946451bba1c27089be4c561.7EC662CDC4744D03A066C93D40613B74.133 Target Package: cp132
    Date Generated2013-02-21T20:21:322013-02-22T15:42:40 Tool Flow ISE
    Macro StatisticsMiscellaneous StatisticsNet StatisticsSite Usage
    -Adders/Subtractors=4 +Accumulators=4 +
      +
    • 11-bit up accumulator=2
    • +
    • 11-bit updown accumulator=2
    • +
    +
    +Adders/Subtractors=24
    • 10-bit adder=2
    • 10-bit subtractor=2
    • +
    • 11-bit adder=6
    • +
    • 11-bit subtractor=8
    • +
    • 19-bit adder=1
    • +
    • 25-bit adder=1
    • +
    • 6-bit adder=4
    -Comparators=12 +Comparators=59
      -
    • 11-bit comparator greatequal=4
    • -
    • 11-bit comparator greater=2
    • -
    • 11-bit comparator less=4
    • -
    • 11-bit comparator lessequal=2
    • +
    • 11-bit comparator greatequal=18
    • +
    • 11-bit comparator greater=10
    • +
    • 11-bit comparator less=10
    • +
    • 11-bit comparator lessequal=16
    • +
    • 20-bit comparator greatequal=1
    • +
    • 20-bit comparator less=1
    • +
    • 25-bit comparator greatequal=1
    • +
    • 6-bit comparator greatequal=1
    • +
    • 6-bit comparator less=1
    Counters=2 @@ -79,9 +95,9 @@
  • 8-bit latch=1
  • -Registers=31 +Registers=115
      -
    • Flip-Flops=31
    • +
    • Flip-Flops=115
    MiscellaneousStatistics
      -
    • AGG_BONDED_IO=19
    • -
    • AGG_IO=19
    • -
    • AGG_SLICE=52
    • -
    • NUM_4_INPUT_LUT=92
    • +
    • AGG_BONDED_IO=20
    • +
    • AGG_IO=20
    • +
    • AGG_SLICE=318
    • +
    • NUM_4_INPUT_LUT=584
    • NUM_BONDED_IBUF=1
    • -
    • NUM_BONDED_IOB=18
    • -
    • NUM_BUFGMUX=1
    • -
    • NUM_CYMUX=45
    • -
    • NUM_LUT_RT=34
    • -
    • NUM_SLICEL=52
    • -
    • NUM_SLICE_FF=45
    • -
    • NUM_SLICE_LATCH=2
    • -
    • NUM_XOR=50
    • +
    • NUM_BONDED_IOB=19
    • +
    • NUM_BUFGMUX=2
    • +
    • NUM_CYMUX=402
    • +
    • NUM_LUT_RT=76
    • +
    • NUM_SLICEL=318
    • +
    • NUM_SLICE_FF=145
    • +
    • NUM_SLICE_LATCH=1
    • +
    • NUM_XOR=246
    NetStatistics
      -
    • NumNets_Active=134
    • +
    • NumNets_Active=643
    • NumNets_Gnd=1
    • NumNets_Vcc=1
    • -
    • NumNodesOfType_Active_CLKPIN=27
    • -
    • NumNodesOfType_Active_CNTRLPIN=29
    • -
    • NumNodesOfType_Active_DOUBLE=151
    • -
    • NumNodesOfType_Active_DUMMY=213
    • +
    • NumNodesOfType_Active_CLKPIN=83
    • +
    • NumNodesOfType_Active_CNTRLPIN=74
    • +
    • NumNodesOfType_Active_DOUBLE=1121
    • +
    • NumNodesOfType_Active_DUMMY=1374
    • NumNodesOfType_Active_DUMMYESC=1
    • -
    • NumNodesOfType_Active_GLOBAL=14
    • -
    • NumNodesOfType_Active_HFULLHEX=1
    • -
    • NumNodesOfType_Active_HUNIHEX=2
    • -
    • NumNodesOfType_Active_INPUT=246
    • +
    • NumNodesOfType_Active_GLOBAL=45
    • +
    • NumNodesOfType_Active_HFULLHEX=12
    • +
    • NumNodesOfType_Active_HLONG=2
    • +
    • NumNodesOfType_Active_HUNIHEX=83
    • +
    • NumNodesOfType_Active_INPUT=1587
    • NumNodesOfType_Active_IOBOUTPUT=1
    • -
    • NumNodesOfType_Active_OMUX=111
    • -
    • NumNodesOfType_Active_OUTPUT=114
    • -
    • NumNodesOfType_Active_PREBXBY=52
    • -
    • NumNodesOfType_Active_VFULLHEX=7
    • -
    • NumNodesOfType_Active_VLONG=1
    • -
    • NumNodesOfType_Active_VUNIHEX=6
    • -
    • NumNodesOfType_Gnd_DOUBLE=10
    • -
    • NumNodesOfType_Gnd_INPUT=12
    • -
    • NumNodesOfType_Gnd_OMUX=6
    • -
    • NumNodesOfType_Gnd_OUTPUT=8
    • -
    • NumNodesOfType_Gnd_PREBXBY=5
    • +
    • NumNodesOfType_Active_OMUX=518
    • +
    • NumNodesOfType_Active_OUTPUT=626
    • +
    • NumNodesOfType_Active_PREBXBY=339
    • +
    • NumNodesOfType_Active_VFULLHEX=28
    • +
    • NumNodesOfType_Active_VUNIHEX=64
    • +
    • NumNodesOfType_Vcc_CNTRLPIN=2
    • +
    • NumNodesOfType_Vcc_INPUT=32
    • +
    • NumNodesOfType_Vcc_PREBXBY=32
    • +
    • NumNodesOfType_Vcc_VCCOUT=33
    SiteStatistics
    • IBUF-DIFFMI=1
    • -
    • IOB-DIFFM=8
    • +
    • IOB-DIFFM=9
    • IOB-DIFFS=9
    • -
    • SLICEL-SLICEM=21
    • +
    • SLICEL-SLICEM=89
    SiteSummary
      -
    • BUFGMUX=1
    • -
    • BUFGMUX_GCLKMUX=1
    • -
    • BUFGMUX_GCLK_BUFFER=1
    • +
    • BUFGMUX=2
    • +
    • BUFGMUX_GCLKMUX=2
    • +
    • BUFGMUX_GCLK_BUFFER=2
    • IBUF=1
    • IBUF_INBUF=1
    • IBUF_PAD=1
    • -
    • IOB=18
    • -
    • IOB_OUTBUF=18
    • -
    • IOB_PAD=18
    • -
    • SLICEL=52
    • -
    • SLICEL_C1VDD=7
    • -
    • SLICEL_C2VDD=2
    • -
    • SLICEL_CYMUXF=25
    • -
    • SLICEL_CYMUXG=20
    • -
    • SLICEL_F=48
    • -
    • SLICEL_F5MUX=3
    • -
    • SLICEL_FFX=22
    • -
    • SLICEL_FFY=25
    • -
    • SLICEL_G=44
    • -
    • SLICEL_GNDF=18
    • -
    • SLICEL_GNDG=18
    • -
    • SLICEL_XORF=25
    • -
    • SLICEL_XORG=25
    • +
    • IOB=19
    • +
    • IOB_OUTBUF=19
    • +
    • IOB_PAD=19
    • +
    • SLICEL=318
    • +
    • SLICEL_C1VDD=39
    • +
    • SLICEL_C2VDD=35
    • +
    • SLICEL_CYMUXF=216
    • +
    • SLICEL_CYMUXG=186
    • +
    • SLICEL_F=301
    • +
    • SLICEL_F5MUX=7
    • +
    • SLICEL_FFX=69
    • +
    • SLICEL_FFY=77
    • +
    • SLICEL_G=283
    • +
    • SLICEL_GNDF=82
    • +
    • SLICEL_GNDG=58
    • +
    • SLICEL_XORF=127
    • +
    • SLICEL_XORG=119
    BUFGMUX
      -
    • S=[S_INV:1] [S:0]
    • +
    • S=[S_INV:2] [S:0]
    BUFGMUX_GCLKMUX
      -
    • DISABLE_ATTR=[LOW:1]
    • -
    • S=[S_INV:1] [S:0]
    • +
    • DISABLE_ATTR=[LOW:2]
    • +
    • S=[S_INV:2] [S:0]
    IBUF_PAD @@ -199,80 +214,80 @@ IOB
      -
    • O1=[O1_INV:0] [O1:18]
    • +
    • O1=[O1_INV:0] [O1:19]
    IOB_OUTBUF
      -
    • IN=[IN_INV:0] [IN:18]
    • +
    • IN=[IN_INV:0] [IN:19]
    IOB_PAD
      -
    • DRIVEATTRBOX=[8:10] [12:8]
    • -
    • IOATTRBOX=[LVCMOS25:8] [LVCMOS33:10]
    • -
    • SLEW=[SLOW:8] [FAST:10]
    • +
    • DRIVEATTRBOX=[8:10] [12:9]
    • +
    • IOATTRBOX=[LVCMOS25:9] [LVCMOS33:10]
    • +
    • SLEW=[SLOW:9] [FAST:10]
    SLICEL
      -
    • BX=[BX_INV:0] [BX:8]
    • -
    • BY=[BY:3] [BY_INV:0]
    • -
    • CE=[CE:15] [CE_INV:0]
    • -
    • CIN=[CIN_INV:0] [CIN:20]
    • -
    • CLK=[CLK:25] [CLK_INV:2]
    • -
    • SR=[SR:14] [SR_INV:0]
    • +
    • BX=[BX_INV:4] [BX:47]
    • +
    • BY=[BY:11] [BY_INV:3]
    • +
    • CE=[CE:44] [CE_INV:0]
    • +
    • CIN=[CIN_INV:0] [CIN:182]
    • +
    • CLK=[CLK:82] [CLK_INV:1]
    • +
    • SR=[SR:16] [SR_INV:14]
    SLICEL_CYMUXF
      -
    • 0=[0:25] [0_INV:0]
    • -
    • 1=[1_INV:0] [1:25]
    • +
    • 0=[0:216] [0_INV:0]
    • +
    • 1=[1_INV:2] [1:214]
    SLICEL_CYMUXG
      -
    • 0=[0:20] [0_INV:0]
    • +
    • 0=[0:186] [0_INV:0]
    SLICEL_F5MUX
      -
    • S0=[S0:3] [S0_INV:0]
    • +
    • S0=[S0:7] [S0_INV:0]
    SLICEL_FFX
      -
    • CE=[CE:15] [CE_INV:0]
    • -
    • CK=[CK:21] [CK_INV:1]
    • -
    • D=[D:22] [D_INV:0]
    • -
    • FFX_INIT_ATTR=[INIT0:22]
    • -
    • FFX_SR_ATTR=[SRLOW:22]
    • -
    • LATCH_OR_FF=[FF:21] [LATCH:1]
    • -
    • SR=[SR:10] [SR_INV:0]
    • -
    • SYNC_ATTR=[ASYNC:12] [SYNC:10]
    • +
    • CE=[CE:38] [CE_INV:0]
    • +
    • CK=[CK:68] [CK_INV:1]
    • +
    • D=[D:67] [D_INV:2]
    • +
    • FFX_INIT_ATTR=[INIT0:63] [INIT1:6]
    • +
    • FFX_SR_ATTR=[SRLOW:69]
    • +
    • LATCH_OR_FF=[FF:68] [LATCH:1]
    • +
    • SR=[SR:10] [SR_INV:13]
    • +
    • SYNC_ATTR=[ASYNC:46] [SYNC:23]
    SLICEL_FFY
      -
    • CE=[CE:15] [CE_INV:0]
    • -
    • CK=[CK:24] [CK_INV:1]
    • -
    • D=[D:25] [D_INV:0]
    • -
    • FFY_INIT_ATTR=[INIT0:24] [INIT1:1]
    • -
    • FFY_SR_ATTR=[SRLOW:24] [SRHIGH:1]
    • -
    • LATCH_OR_FF=[FF:24] [LATCH:1]
    • -
    • SR=[SR:14] [SR_INV:0]
    • -
    • SYNC_ATTR=[ASYNC:11] [SYNC:14]
    • +
    • CE=[CE:42] [CE_INV:0]
    • +
    • CK=[CK:77] [CK_INV:0]
    • +
    • D=[D:74] [D_INV:3]
    • +
    • FFY_INIT_ATTR=[INIT0:67] [INIT1:10]
    • +
    • FFY_SR_ATTR=[SRLOW:77]
    • +
    • LATCH_OR_FF=[FF:77]
    • +
    • SR=[SR:16] [SR_INV:13]
    • +
    • SYNC_ATTR=[ASYNC:48] [SYNC:29]
    SLICEL_XORF
      -
    • 1=[1_INV:0] [1:25]
    • +
    • 1=[1_INV:2] [1:125]
    @@ -286,22 +301,22 @@ BUFGMUX
      -
    • I0=1
    • -
    • O=1
    • -
    • S=1
    • +
    • I0=2
    • +
    • O=2
    • +
    • S=2
    BUFGMUX_GCLKMUX
      -
    • I0=1
    • -
    • OUT=1
    • -
    • S=1
    • +
    • I0=2
    • +
    • OUT=2
    • +
    • S=2
    BUFGMUX_GCLK_BUFFER
      -
    • IN=1
    • -
    • OUT=1
    • +
    • IN=2
    • +
    • OUT=2
    IBUF @@ -323,142 +338,141 @@ IOB
      -
    • O1=18
    • -
    • PAD=18
    • +
    • O1=19
    • +
    • PAD=19
    IOB_OUTBUF
      -
    • IN=18
    • -
    • OUT=18
    • +
    • IN=19
    • +
    • OUT=19
    IOB_PAD
      -
    • PAD=18
    • +
    • PAD=19
    SLICEL
      -
    • BX=8
    • -
    • BY=3
    • -
    • CE=15
    • -
    • CIN=20
    • -
    • CLK=27
    • -
    • COUT=20
    • -
    • F1=46
    • -
    • F2=23
    • -
    • F3=23
    • -
    • F4=19
    • -
    • G1=44
    • -
    • G2=22
    • -
    • G3=20
    • -
    • G4=15
    • -
    • SR=14
    • -
    • X=26
    • -
    • XQ=22
    • -
    • Y=20
    • -
    • YQ=25
    • +
    • BX=51
    • +
    • BY=14
    • +
    • CE=44
    • +
    • CIN=182
    • +
    • CLK=83
    • +
    • COUT=186
    • +
    • F1=299
    • +
    • F2=226
    • +
    • F3=104
    • +
    • F4=73
    • +
    • G1=282
    • +
    • G2=216
    • +
    • G3=103
    • +
    • G4=68
    • +
    • SR=30
    • +
    • X=139
    • +
    • XB=16
    • +
    • XQ=69
    • +
    • Y=133
    • +
    • YQ=77
    SLICEL_C1VDD
      -
    • 1=7
    • +
    • 1=39
    SLICEL_C2VDD
      -
    • 1=2
    • +
    • 1=35
    SLICEL_CYMUXF
      -
    • 0=25
    • -
    • 1=25
    • -
    • OUT=25
    • -
    • S0=25
    • +
    • 0=216
    • +
    • 1=216
    • +
    • OUT=216
    • +
    • S0=216
    SLICEL_CYMUXG
      -
    • 0=20
    • -
    • 1=20
    • -
    • OUT=20
    • -
    • S0=20
    • +
    • 0=186
    • +
    • 1=186
    • +
    • OUT=186
    • +
    • S0=186
    SLICEL_F
      -
    • A1=46
    • -
    • A2=23
    • -
    • A3=23
    • -
    • A4=19
    • -
    • D=48
    • +
    • A1=297
    • +
    • A2=226
    • +
    • A3=104
    • +
    • A4=73
    • +
    • D=301
    SLICEL_F5MUX
      -
    • F=3
    • -
    • G=3
    • -
    • OUT=3
    • -
    • S0=3
    • +
    • F=7
    • +
    • G=7
    • +
    • OUT=7
    • +
    • S0=7
    • +
    +
    +SLICEL_FFX +
      +
    • CE=38
    • +
    • CK=69
    • +
    • D=69
    • +
    • Q=69
    • +
    • SR=23
    -SLICEL_FFX -
      -
    • CE=15
    • -
    • CK=22
    • -
    • D=22
    • -
    • Q=22
    • -
    • SR=10
    • -
    -
    SLICEL_FFY
      -
    • CE=15
    • -
    • CK=25
    • -
    • D=25
    • -
    • Q=25
    • -
    • SR=14
    • +
    • CE=42
    • +
    • CK=77
    • +
    • D=77
    • +
    • Q=77
    • +
    • SR=29
    SLICEL_G
      -
    • A1=44
    • -
    • A2=22
    • -
    • A3=20
    • -
    • A4=15
    • -
    • D=44
    • +
    • A1=278
    • +
    • A2=216
    • +
    • A3=103
    • +
    • A4=68
    • +
    • D=283
    SLICEL_GNDF
      -
    • 0=18
    • +
    • 0=82
    SLICEL_GNDG
      -
    • 0=18
    • +
    • 0=58
    SLICEL_XORF
      -
    • 0=25
    • -
    • 1=25
    • -
    • O=25
    • +
    • 0=127
    • +
    • 1=127
    • +
    • O=127
    -
    SLICEL_XORG
      -
    • 0=25
    • -
    • 1=25
    • -
    • O=25
    • +
    • 0=119
    • +
    • 1=119
    • +
    • O=119
     
    - - + + @@ -861,8 +1359,8 @@ - - + + @@ -871,8 +1369,8 @@ - - + + @@ -881,8 +1379,8 @@ - - + + @@ -891,8 +1389,8 @@ - - + + @@ -901,8 +1399,8 @@ - - + + @@ -936,7 +1434,7 @@ - + @@ -957,78 +1455,79 @@ - +
    Software Quality
    Run Statistics
    Program NameRuns StartedRuns FinishedErrorsFatal ErrorsInternal ErrorsExceptionsCore Dumps
    bitgen6060135135 0 0 0
    map6160137136 0 0 0
    ngdbuild6262139139 0 0 0
    par6060135135 0 0 0
    trce6060134134 0 0 0
    xst8787194193 0 0 0
    PROP_intProjectCreationTimestamp=2013-02-15T19:54:09 PROP_intWbtProjectID=7EC662CDC4744D03A066C93D40613B74
    PROP_intWbtProjectIteration=58
    PROP_intWbtProjectIteration=133 PROP_intWorkingDirLocWRTProjDir=Same
    PROP_intWorkingDirUsed=No
    PROP_PreferredLanguage=Verilog FILE_UCF=1
    FILE_VHDL=2
    FILE_VHDL=4
     
    + - - - + + - - + + - + - - - - + + + + - + + - - + - - - - + + + + + - + - - - - + + + + - - + + - - - - + + + + - - + + - + - - - - + + + + - + - +
    Unisim Statistics
    NGDBUILD_PRE_UNISIM_SUMMARY
    NGDBUILD_NUM_BUFG=1 NGDBUILD_NUM_BUFGP=1NGDBUILD_NUM_FD=1NGDBUILD_NUM_FDE=20NGDBUILD_NUM_FDR=13NGDBUILD_NUM_FD=25NGDBUILD_NUM_FDE=68
    NGDBUILD_NUM_FDRE=10NGDBUILD_NUM_FDS=1NGDBUILD_NUM_FDR=40NGDBUILD_NUM_FDRE=12 NGDBUILD_NUM_GND=1NGDBUILD_NUM_INV=11NGDBUILD_NUM_INV=71
    NGDBUILD_NUM_LD=2NGDBUILD_NUM_LUT1=33NGDBUILD_NUM_LUT2=1NGDBUILD_NUM_LUT2_L=1NGDBUILD_NUM_LD=1NGDBUILD_NUM_LUT1=76NGDBUILD_NUM_LUT2=225NGDBUILD_NUM_LUT2_D=2
    NGDBUILD_NUM_LUT3=6NGDBUILD_NUM_LUT2_L=10NGDBUILD_NUM_LUT3=65 NGDBUILD_NUM_LUT3_L=3NGDBUILD_NUM_LUT4=29NGDBUILD_NUM_LUT4_D=1NGDBUILD_NUM_LUT4=127
    NGDBUILD_NUM_LUT4_L=4NGDBUILD_NUM_MUXCY=45NGDBUILD_NUM_MUXF5=3NGDBUILD_NUM_OBUF=18NGDBUILD_NUM_LUT4_D=4NGDBUILD_NUM_LUT4_L=6NGDBUILD_NUM_MUXCY=404NGDBUILD_NUM_MUXF5=7
    NGDBUILD_NUM_OBUF=19 NGDBUILD_NUM_VCC=1NGDBUILD_NUM_XORCY=50NGDBUILD_NUM_XORCY=248
    NGDBUILD_POST_UNISIM_SUMMARY
    NGDBUILD_NUM_BUFG=1NGDBUILD_NUM_FD=1NGDBUILD_NUM_FDE=20NGDBUILD_NUM_FDR=13NGDBUILD_NUM_BUFG=2NGDBUILD_NUM_FD=25NGDBUILD_NUM_FDE=68NGDBUILD_NUM_FDR=40
    NGDBUILD_NUM_FDRE=10NGDBUILD_NUM_FDS=1NGDBUILD_NUM_FDRE=12 NGDBUILD_NUM_GND=1 NGDBUILD_NUM_IBUFG=1NGDBUILD_NUM_INV=71
    NGDBUILD_NUM_INV=11NGDBUILD_NUM_LD=2NGDBUILD_NUM_LUT1=33NGDBUILD_NUM_LUT2=1NGDBUILD_NUM_LD=1NGDBUILD_NUM_LUT1=76NGDBUILD_NUM_LUT2=225NGDBUILD_NUM_LUT2_D=2
    NGDBUILD_NUM_LUT2_L=1NGDBUILD_NUM_LUT3=6NGDBUILD_NUM_LUT2_L=10NGDBUILD_NUM_LUT3=65 NGDBUILD_NUM_LUT3_L=3NGDBUILD_NUM_LUT4=29NGDBUILD_NUM_LUT4=127
    NGDBUILD_NUM_LUT4_D=1NGDBUILD_NUM_LUT4_L=4NGDBUILD_NUM_MUXCY=45NGDBUILD_NUM_MUXF5=3NGDBUILD_NUM_LUT4_D=4NGDBUILD_NUM_LUT4_L=6NGDBUILD_NUM_MUXCY=404NGDBUILD_NUM_MUXF5=7
    NGDBUILD_NUM_OBUF=18NGDBUILD_NUM_OBUF=19 NGDBUILD_NUM_VCC=1NGDBUILD_NUM_XORCY=50NGDBUILD_NUM_XORCY=248
     
    diff --git a/vga.vhd b/vga.vhd index c9c01fb..04ecce2 100644 --- a/vga.vhd +++ b/vga.vhd @@ -7,11 +7,10 @@ entity vga is port ( CLK : in std_logic; - LED : out std_logic_vector(7 downto 0); - IRGB : in std_logic_vector(7 downto 0); RGB : out std_logic_vector(7 downto 0); + FRAME: out std_logic; W : out std_logic; X : out std_logic_vector(9 downto 0); Y : out std_logic_vector(9 downto 0); @@ -26,19 +25,19 @@ architecture Behavioral of vga is signal vert : std_logic_vector(9 downto 0); begin -process (CLK) begin +process (CLK) + variable fout : std_logic := '0'; +begin if CLK'event and CLK = '1' then - -- 144 and 784 - if (horiz >= 146) and (horiz < 788) - -- 39 and 519 - and (vert >= 32) and (vert < 519) then + if (horiz >= 145) and (horiz < 788) + and (vert >= 35) and (vert < 514) then W <= '1'; RGB <= IRGB; - X <= horiz - 144 + 1; - Y <= vert - 39 + 1; + X <= horiz - 146 + 1; + Y <= vert - 34 + 1; else W <= '0'; - RGB <= "11100011"; + RGB <= "00000000"; end if; if (horiz > 0) and (horiz < 97) then @@ -60,8 +59,13 @@ process (CLK) begin end if; if (vert = 521) then + fout := '1'; vert <= (others => '0'); + else + fout := '0'; end if; + + FRAME <= fout; end if; end process; diff --git a/webtalk_pn.xml b/webtalk_pn.xml index a0c1d6c..aa2a3b8 100644 --- a/webtalk_pn.xml +++ b/webtalk_pn.xml @@ -3,10 +3,10 @@ - +
    - +
    @@ -25,7 +25,7 @@ This means code written to parse this file will need to be revisited each subseq - + @@ -39,7 +39,7 @@ This means code written to parse this file will need to be revisited each subseq - +
    diff --git a/xlnx_auto_0_xdb/cst.xbcd b/xlnx_auto_0_xdb/cst.xbcd index fa02ec073950b19d207a1e9050439ca2237fb13b..e2c7a2045f864308b7c6bcc590e76d3c56a4f8a1 100644 GIT binary patch literal 2194 zcmXw#dpr|-8^$B2^)834Qc;GP;~d(`ln}#~jnLzqY_#mjl%j~3BAe6Zd|2o~q^Lv@ zi5T)Wv`Evkv>JJw1Yp;J|v0|yzxU&mW1ec zv&H{Nh zT)S((lJ4Y*-tE!yV1E8RB6QZ;w)$X}=u^Ol^1U5|Nur<~0G`@G7L6$*k-<3Ty;{ed$wP7z1$kF<*KR+m3!U_cjgm#t@oI z2U(B=7&pq{qGogt!by%w^I*L_N|9(I-J47kCw- zXHY(@J`PAz?|K8Jlmn@`GHR#ns+q@P3%Vi&pLr3VwEP2U@p znm#Dupw+{KawMTXs96KCxVLCD*n;~KuSvx>VhT|J7Am=DHC1k|3->Cg8V)O+gcTFO zbyT`~AzhsSK5Y&@nbQ%^k=wqI-HoQQP)aNmlpr(-6Q1I*Eujlm9J%CnqD4e0D>M8 zFP`q!fXQy@g}3OhxL77@WxYL2=9Y|_jX4oy$9ka>Vs((%7q?ypT|ic?d+xi?Q!Z98 zTM2Fk6m-v(tRmO0z-#>Ld2K_p!J`Gkbv`qKsS(~+;1?TH_ZcgnguO%SihzKQfDm`B$U#zh6Xd>3fR`Yx3f-rx3nOvNY9I8+m8PltO_#ye9!Qk%+ zx{33I@3uM!b(44VOGMiY);Zr9fix(~^S7r>ehhXjRhl3?W2|FyWEs<71yq}$HneUG z2 zx0kh*2DKyI^J{GHPZOJjcb=+`)wk&nuWA7kZ^V^hiU{g!1p4ZByAn}<(Nf~xL z3b(!%GI8B;4Q95o^#5}C8Ckz7U8$$&{N}wJK#eNP_8Hac{N7|PKAGL|2hO&nnZgbH z)E%#8`SBsI4O~V#ME#vJuF3sZSN_5Lo76cQdfpYqk@mEyb(UOw(v+L3JOlo&Y=Jo6 zi*J_J$gUn8sQ(qNi7|WbH?{^tH5SR|SLLpiA_*UI>ii9Xx_Pa6NJ3L~U9W*`MP6(= zlEBESLl|tS$UAfmNjS}{E7Mn~$Xkm+5^NcD|It^j$ZI`^B&cWBU7FaJ?lo7!O=?3t z&MYuC_j~fm5q$9}@B8t4ouML|?RZ`_aoTiO68FDjdc_Y~*(2cdTb+!*C53iU;{Ek| zqcq`B-0rMp4=bqKX0tq0fA8gUQ1ZCEa`j@EEK(Gxh;P0^nLf6&hijTs7=;nitnn6f z-xMh9GdUH@IS91?4CQ`X*#GfyEoJg>a>WUl#XOVk9#c#NEh%F)bE*x04v;uc0c#|Q zpYeE)C_-~~AN_(dOn*b+#s!S9azpZhGM3YU6dm@)H)oLeh9#X+Ka^Cec4H}(x{)uH ziV$ei5$5<9Gk0*f%IL*SXU9VeumO=6qHAeYA=@zpIw6v3ZVY6q4Ck}RofH-Rz=%oa z#Ul3h6zGnh%Lt4Rzk%ninT=AEvd1Go&c^XG`{5f{XOyu|;clKdVX*^f{>9L?oaPB8 zyH)Dw<^UE{J)T8#K1m(lpT`IfZ)h}WW;a7N&hw?`T}V;vKgA0xcW0Gj0nV*B;XMbC Y`iABEC=Ahe1MtN7-sSe!Gc=F?0m!pIfB*mh literal 2098 zcmXw&dpr~BAIEbzGCFq*fW6mSA3lXn%2M2Xp*qC&HP3h+H_PJE(U*;<= z>Dn|MWW^=4t3q=2-kP16VWa>45?)6?Hx(J(eb(m4Lg=9^j!MKov9t*cl)t8UWKl49 zHoWcEsm57LOPynF5^rZ~2L%gP5}TuwORT(@nsEdYMfNRb+|;r=bk&#ALs|E+b$TwP z?&ptv@ztd~`8r?ebx{(<2lSwdZbLy_Qw{N0)77>1cx;}|aUq|ul|43zC-g#B|Mg*8 z#*in*N53)zl|$gW4^H+TEh*&zUNUM)nYjoa2l zkC)0gIrN~NvbK)V#If_vaJTEd1Qnc&QG~EEl6ZjF`$Cz+)BFH`{|E7Kpyvl?xYzaG z0OBJ?i}T6Za*hfu(>7}lz5<5*X9(GxI@v%QI(iko4BbKg1)7dZSV`b&pUHSU{FZp!G|KdFNR#%7R(_M=%p?%^qH+2x#^7FH9bJgac zh4fm|1Y%FMaag{wH@H@FOz?Pm`Sva|fHwK@u0t(PMh1bO6<-*86z8LXma=6R;U{KM zca->1l$6ngOK>aUlZ4JONK9N~ZF~RePXnzN=CL$(HI2Q2eHcJv=Flv%6$Es4)uvk; zOTPYjQLa~V3~h3f&c0#WwocJV^ZT4rFPRfHJxmgvlcwIBXxEDqO>tU>+)I`4bvey_ zfMJ87;_0QbO?Hnk@>l>gbnUavtePktazUp{)W9><|9#Z9!k|=TAE)ysJ(dkb$lIGp zD=cTnoRNOTnmf9>;#vlOqRi8HrNJ_c<{lYgxb`!4M=68?M>9@q?4T}bE&<0b}I>f7QyI~ht18Tw$=cl20INGDd%7sd?_4%ua^f(EU~MRN79 zT&pNl5K!v^Gt9^kS(=KHHz#>K1Nx9MEa(N@NRXj+QAQm|LnT@#203u;)b%?cb{5&>?*1)65iYT8Owv1{-8c~)(0!|~N5|Mb^Sa^6G-f>>Z z`$^}aQ|hr1z{L30eH*t=qnokR8?|?q>wYFw_^8|KJwrj z{wPd2V)l`Z4-#>v2b^^ zQ4sZp$>enV@Q)jlihbWaEMAzI2X2&0|4*3u?8Vj|c6;W0J1npsw27RHDB?qnI6Zh( zi9AP#73p)=)PAU1!FE&w$K53fN@m8wK#XW_%~7|&CD5jP6OXxd)>vrs!*uC@`Hm?h z9(m?_LSg+?-c8vea4be7T_duOvH@)_WVO#TC)N7&dQej0TnwEJ>Bh?F0r8YBr%fI9 z-!aAJj5Y9r(+iU2*zLFG+uZ{7#f8+Q9`dGt?!x8YzMD>|p?7c%9Z+Vgjo5x7ZAo6D z)m`0>KNYlTi4=jN7DEJ_4#y&XvbgR?!YSnUfn+bEj((r%GpLrtM5wHV&>bU^s1Yef zHG(%&SaWv~iSEWi$DNE02tFWo=LskQb@u`xoG#Yll-z52D9$0J()_@tLl?t_zDHDn^zXEBWybSBFOT>%mWRXUzKJcULvaL5PI<312U8 zdR4(-k{xr}Tpc(4Rkqf39qhU{zOWOw09Ihp8#XfrCkl`A@}7jF@aCUm|;rs&w&2PwaFg7yE+vi=jp2ZX@3A*#1>cyI|{8l21f zn$Q$G_Zy+Zpu`DQ>R*eK9$E#2pv4fJWkQq#p%UNad8|<##tLq3ck)k8#rTLJ{96+u z;-mF|!9M~iiad)BT_qB7x@ii{SyhtK_yH55#ka$+QY(+_Q4nH;6RfU7EM;yqk+>Xr zsCc^-G$|ExYP(gJ3GvVyafI<@K!`#OE~Z0y-ZA!7Qy=0NT@Z213HIwZYRrUK|Bd1U zxDlk9Hi~ogTBhTeM9j8(Q&Q@>-*&J$*sFTY*|GW2FkVdQtxK)6 XZR^O0b++dbziWK=mS(oT>Foaj0>u#7 diff --git a/xst/work/hdllib.ref b/xst/work/hdllib.ref index 523b2ca..a64c4e2 100644 --- a/xst/work/hdllib.ref +++ b/xst/work/hdllib.ref @@ -1,4 +1,6 @@ -AR vga behavioral C:/fpga/pong/vga.vhd sub00/vhpl03 1361499657 -AR main behavioral C:/fpga/pong/main.vhd sub00/vhpl01 1361499659 -EN main NULL C:/fpga/pong/main.vhd sub00/vhpl00 1361499658 -EN vga NULL C:/fpga/pong/vga.vhd sub00/vhpl02 1361499656 +AR speaker behavioral C:/fpga/pong/speaker.vhd sub00/vhpl05 1361569314 +AR vga behavioral C:/fpga/pong/vga.vhd sub00/vhpl03 1361569316 +AR main behavioral C:/fpga/pong/main.vhd sub00/vhpl01 1361569318 +EN main NULL C:/fpga/pong/main.vhd sub00/vhpl00 1361569317 +EN vga NULL C:/fpga/pong/vga.vhd sub00/vhpl02 1361569315 +EN speaker NULL C:/fpga/pong/speaker.vhd sub00/vhpl04 1361569313 diff --git a/xst/work/hdpdeps.ref b/xst/work/hdpdeps.ref index a9d28f7..23fcaa6 100644 --- a/xst/work/hdpdeps.ref +++ b/xst/work/hdpdeps.ref @@ -1,11 +1,16 @@ -V3 7 -FL C:/fpga/pong/main.vhd 2013/02/21.18:24:26 P.15xf -EN work/main 1361499658 FL C:/fpga/pong/main.vhd PB ieee/std_logic_1164 1335251622 \ +V3 11 +FL C:/fpga/pong/main.vhd 2013/02/22.15:41:49 P.15xf +EN work/main 1361569317 FL C:/fpga/pong/main.vhd PB ieee/std_logic_1164 1335251622 \ PB ieee/std_logic_arith 1335251623 PB ieee/STD_LOGIC_UNSIGNED 1335251625 -AR work/main/Behavioral 1361499659 \ - FL C:/fpga/pong/main.vhd EN work/main 1361499658 CP vga -FL C:/fpga/pong/vga.vhd 2013/02/21.20:20:49 P.15xf -EN work/vga 1361499656 FL C:/fpga/pong/vga.vhd PB ieee/std_logic_1164 1335251622 \ +AR work/main/Behavioral 1361569318 \ + FL C:/fpga/pong/main.vhd EN work/main 1361569317 CP speaker CP vga +FL C:/fpga/pong/speaker.vhd 2013/02/22.15:16:32 P.15xf +EN work/speaker 1361569313 FL C:/fpga/pong/speaker.vhd PB ieee/std_logic_1164 1335251622 \ PB ieee/std_logic_arith 1335251623 PB ieee/STD_LOGIC_UNSIGNED 1335251625 -AR work/vga/Behavioral 1361499657 \ - FL C:/fpga/pong/vga.vhd EN work/vga 1361499656 +AR work/speaker/Behavioral 1361569314 \ + FL C:/fpga/pong/speaker.vhd EN work/speaker 1361569313 +FL C:/fpga/pong/vga.vhd 2013/02/22.13:16:43 P.15xf +EN work/vga 1361569315 FL C:/fpga/pong/vga.vhd PB ieee/std_logic_1164 1335251622 \ + PB ieee/std_logic_arith 1335251623 PB ieee/STD_LOGIC_UNSIGNED 1335251625 +AR work/vga/Behavioral 1361569316 \ + FL C:/fpga/pong/vga.vhd EN work/vga 1361569315 diff --git a/xst/work/sub00/vhpl00.vho b/xst/work/sub00/vhpl00.vho index 13844a55489411d49811a26680b5eefe2c790d58..46458a5dbaa8deecc0cc798b10562a40530f5252 100644 GIT binary patch delta 410 zcmbQpagjrdk%^gwfq{V)h!q$-7#O4@fGjWwRDY%lV>2++GEUS|V5*dy=wk>X>y1F< zX49xjNw69#Ajy)On3>1GAn(Y)02Sp2in1{n8()Qziq0mn8#3;z|aF%t{7^LeI%oj5k?gViclC^vgpMbPLjhuXhNmKyjawJs(ljWIZfsSXD2nz;z e2}KeZD7lFsmru4~Hf5@1oLtPT2=ebV=4t>Kmp)()*FGy z&89(xo?tarK$0alF*A>WLEe#p0V>K56a|{BF37-O*T}#S`jLSl_8O`oy91ILv zKN%RDG8q^mZ!$0>u4G`yteot`r~@>7aslJrdIz9Fb_ND7ORxrY2DU(BAd88C!7Y%1 z!A}t^$`Hf}rX3(WsM<0Hh8a;n5k|OfuoXZNkW-n1+?^nHN=JgVfqcykaUnQ7yTWwRvIvL0MrGC`;*V=oZv+n~d`}=av-fRDR zt$**m);jy1d*isCPecKcdn_GGw987gq%`jP{uEQEc%&h%&p>V5k&BlW*0!uzRaize zp_}6Onx%gNuGSwbR}sC_L-c+dInE$CU#TT$pD}XwA0X$bdU6&*er+>3{q+>S*DV%_d~((~n}>*6^F)^ceN6|^P3V_`zCAGe zLwbo$s3Dp=N;DsHJHL+T3UIi-mFS1)H-+bbvX*G$2S%nepv7zF%{u-#CD;LGP?3ly575eeE6(Y{Lcz7#ed42es$cP-I=V+uWOSni2xX)I{mbUGwXI$9SBBk>Ra!*uCW37p6iFgk%LgZBCOcs$nl`Aq4tx)u7M1ls0T!dZ}i%45F zoNh#nNj+ z#VC#%Yt%kE=3r|JTjs4@QXt|0(;D)- z`elpU6V=jKYg4pD=mEo2qGL~*eRBT9qZDV0&i-n4tV*lpsv*on{gaMwXjb#I#vPzm zgs~N-A+tXze}Y;XYuJH$5uGg#i&n2(TsU{}Dz%c<4oy`L16HP`VrBJ;<&x=*kk|NY z>Y2gY$K-b7)P1nB} zGgVaTrc3rTP+8NZD=|ZnXi`iY*%*&G@h&$1S1b}2i*`g#u3LmzygET2Ioo!K)TzRB zQ4+ZtY)0Ei_>stkX~%}DVySzWWze#G)snO2`DsWn%|ql#%rx1YvlE3Swo?7d``dyF%B(P5y8AnFC=0d=Za%% zlt$nZ{DE}S5bi~!PaMVLq+g`Y={<5!R7+zm(pti287@K^#~N;09(9-;l7uuAI_KsE zY!I3>t76i+7o^2FNFz@g0~I`Fb+u^QM9vBwG-&f7ipy^JJ3?v>gh#uJOct( zCB%Iv%HfLxPDAWFA*=CQZ7^0Qhu(& z%z}WSD zHi#g*f_s!6DcA|G&_PbquJYXD_1REy|A#1Jq=2of2olHm*P54 zmPSGrgISg7O$6hx5tIafn3!%^6Z@ZPa{jxHAt}8Cbv!yg8)Tuq2%nCS^Ld|0U9Vg2 ziChg9i!kFBNH7IGnm2-rP6+BjCn(dy6LeQDl`S*ed1j}|hV#tWmK${x&1@XGyC~1f z=Hl+qK<;i;Y>wQ?V{%XAYOonUBVi}u!u-bL(N>4TPdG(UcM8R2E5)O1itsV`qfmr7 zcdy}6iU+l`ZfgnMX7pa!6$_R9mbQzFNL@@&K^;ouwZi$TYC!K0liB!&{{{pMyT6<{IqJO>osW z+k{7xdWU3s_Lyb&Ja&(aabJTwztKt2j_rDkw`sogcn3F0W|?v}cGOnKWj$YaWLIz*a1rWof{)rvHct6)*%)mn>GK5|%Z2x+Erp1HK+rOQ>=`DibP=~q(nsfz`+i-Cls0}?K`&hf zYw2mpXc&Jx^!};3M8o)dpm#KzJw9dYqMXZ@?dOf*mE%?LPH7Xw;kYi+Sga9NtwIp= zA_yL;CvQPskWG{ZL0=7dPv*#5H7Gp^uGJPU4T7f;1kVkTcdnx~q(SgvKY1_r*czM{ zESw1fw#}oxSCt!OLEv53Ejo1&{GdSv!G;czW@2XmnCUW%{i<00EL7-b!5Nv8D z@8VX5kg>u(a-NdFf&_tPR1yR?j0uW86fZJRylbn9gFuh_GC^?5fT%zabPY>Q6n6JY zolWo`Ofb$40&T(yf}VEr?rjmYa!CmS9L!DO3F;}|fgnJSS#pJ!Ai!bX6fNCi5O}8- z4Acq&Z(&`DRDz&P@0NqWTT)%3frG$XK9WkaAW-g!Ai(x}*ccBh$E)DI-X>@cf@OM~ zah$H<>JrhC#c z>s!g+2p9ic?YE`v(gyM`tF^S5K=!X2CjZ6(@}mZ=Ew{I}b9W>8_tjf=(lIypl7DL# z`4g)2n6&4%cJl9Rv9zT##XQ(XHq*2RtITupAE*&+St9T!_liU%0)JYgBm#f0E|F%5 zfN}mo^&(B=Dp=IGHIYm!O9cLY;5emSVYL;Glk=4X7B~?YM#YK1pOF(3M<~uhDE=W} zZaodnxUxi`j^=U#)=xvfVMtVLB7f$n)I{OzeyOvGby5?Yl?aT9ED`u~I>~Qr6Ld4K zm7j*H-_PTag3s_qi^6Zs(~zH9-meQ{jW0@r1nh6?N~Bw(m!GoU@2g8Rm47@B=6(C672Zxw@rhPyOiFoNr-|i z<15Ltj4xyT@wFu!Dks|9jx)*kn2#!oS#I}j(_m(KmS|PD`VwC3_&z+7z07|kCki;x zu8|s_$k?BsUbmTi8lCVKLxXT$bU_FQUbR<%EF}@j_IV}I9PqD zQ)@7ZHaM|BK~ug+!wDA7BpJ5Nqx~_p+gWN1PN}2d^lI|oRDKI~I?)EpyD3=JLH;Mo z7pyT0916}JA^%-R^D!wnIA@T8@AO&P(mAYcrQpJ5@<#^E9CV@$t{kSIbHK8bj@i&a z!Ns-Yk9O-ZY0suA3OdFtZRt!g54MrbH0{AE^IU=(dPQ57XalD~Br4Gc+Yd{k4Fcs; zS)yfJu;YMW6S)c&HEvBL)5;QUu+10+xhjR#RyfgKq$IGwiPkVGPPD=9U4r5)xIy7} z1#>IWnsHwu(FXgph>A@V?Ab0gz64VnrOqb4D>cDciPo6N5^XTOhJu50f>z;E>0^ho z5$umonn<)pi^5BA<29-&TDrxL2mWKD25Kc*|C#<0>DK7wMC(7_Q=)+rt^Z0Xv#mKH z3iimF61`hawEjEQm9XU>laOfrH}WM|PP8Tnxl6AkfBY(YFjP*6vSwv`zaY_m*jK`# zvR+BF?~RmTCDH0&<}NGIN@DUQyx8&CGug|6t}fBRiFUozIMK2{Wr;R^UcG+^*Vj_G zv5LY=#srzjHMm9jqLscrZKmKegmO>4Aew00V@gBtIW&0C5Ef}jasFPnV1!G-qeB!t z(NDqC%7fSvxcBBnU$bERiJSFHS`q5K@hAO?f(J8y=461dN8!=@QrFnF`2G$N^oKqQ zUThO&6PXzYA9Pdj5mMU6ts2yP(_`aGKyyENB>{NlT zGxa?RUau1zUzqP@(&Ev~*VtBCzTluy=8^Hk7g6wBr)cAg(hxs2hk}<2sWkHuH7_-b zaNMvUw%+QvyI}Q>##F!3Fz$X>y*GL{!m6=ddV;oNEpn;i$FWlsxd_6UwI%=ap3@o3?zZCiU@rbRMsMNbQ-mq zH2wPn#4+y}??8A#gN)C{(hH2lSg;MhKPX@u}o`=?`ygFFN=bE!hz+ysci4>IfI!KO`E%J8MLm9pM-kKHM+TM6QBG zjaw7Rw6Y^y`14T;@6IW#w!*%7gOb1kAK?t6CVXx4JbEzWXWA%y9z8GR1)1QA`9Ibp zEwu~uts`W#h;Y%;xqqSXsZJx5NVrj2JX);1QYY;S`wwg3+k?`pw7G9?s9?i=_XG;B z>q>28n$(_vKX!pv%4lOBxK88Ii+v2i!t6BO1jzRl3J|{6EtR=)Ro>y@hYwgC{sS@` z?!(uf_(SFsf;qARyMund=5P#!eu0M(gDB1?cknOul^_L|AIU?c3qbaQahti1rVL7T9 z{n@Zm&A%hy!fUcnYP=?_&wSB@qdWiT7_*mOC?P!K zA+^^30TqNuZ- zqH}nqNs`F{6wMovR+q^H8Ves4f}u&(XHQsYb1(JOUc*W0BQ0cWqP(b$Y$7hh{4aV3p{euGByKP;hPSaU|#koh2IFXSbthIU`h2Sm*Of zdflj!Ugz_tD(Q``qUgdZqt<#TtNn3g4degl6NKe`pH@-y*D;D-b)+Z3@*PFd(*=Xm z(Hs43m|}OJ2-if>i**{8dLKrzH2Sa9lJ>rMyLO5vwpcyJ`{J;d;&ELR{k!q`R2x{a zH2OoU;iJ7To?JumUb&(k<$dwajTBFUKmSJUo)z!l#M1byqoS>X_kGkLJ@CE{vOS7E zR({Oh_fzSQfU~{NXjHrT1>W~uUdHo9W4G*mFISgf#rw*Rty}GV|2bHqSG=!G?^b)? z?>bVw*|SLP9Ci_FXnCLUiKWdHFKeKq9QR)+C;rDHeS}Cp_(mf{c#OuZ={>Nc! zj5|T^{7TqZQ-w_3qsJIOVl6CF%E;y1Rh~bQyW#`-jRNh#;yq=0#e2&1O71Gx zi`*4|y|YBG@GUzJiMN;O6}}a7ZQPJA(JOq*^nL-~a=pxVj+5%myj^*OL%cV}+hsq^ z*H?0Oh<`dHW3it<8BMjscd3=-{k%)0j4RsDpDVx4?3s^lJl5D#`zkr8oH;)M_o+#3 zYAolVax6aq{~_b|cd_44>{$8V$m8XL%YjGy$JZ#iCK ztcW?0T6%VG#0aH>e<#a@_57GCDCof{)|_z*kf;wEN`jL<|Jb>vD*cf0RUjaZUc=uY zQQIWZA^*%b(ttYA#rWH4){FzsS-GTe;K~)tmmGLrp=J5BwM!SN|M&Ao1jZNqbD9*N z5vYwj+xq`N^4G0cbuOeZM_(Sn3hj~GtzNTe!Lk)gS{5#-t2+e$av{af&z?OSHP#)k tUQ<}UsIbcX+{MEP-8CT_Tv*kzW~q#Yerxo^408VR)h$bwFE)Av_5ZIFwebJ| literal 3327 zcma)9eQ4EH7=GTn`7xtp4v{4)_Td*cy&=-fR0 zS2AVg04DI)i}gU&5!Ng<&O7y$R{{BLs90aY+LSeIyK+7G&O&YvFm({}%c!+aWj+b` z_zdv|` zojqux%1L9uZ6o#-_eq|a&A|QacLV)%LqNV4c;OOooc4DX0`FflFQ5XLvl>{={vV^B zwxht_9PlEU-=zKBO5lSFj%A@bnR>|fm(?s&1}2@0vbv3r1j?!bGwx$PJqXh88C_aae_4GEfyawu*K~-!Oo`&)?^LEXSR{ z&rSee=FN(48m&yimt&*(af@AI#B}pFd8wyxO7(hUQehMF9fkHC;l^_jdQHp=_at}+ zYj>w>66fuQgnIJ?ZdMho~IoI%NHZT&G@JG?tFR>iA zApZ(P#>^@cMyyOMPqC3`_tr?F$wc>BU`ZvZ=tUNeRJ0Px^kxI62p6qX6rHv*6j|pK zQ`r?5>Gd>!9F7K_`O_>|DV19_6s_tnwQ3OB{&lHU!&BR_QmY2BEmupe8r~RMH8e)O zX|Q9kEwO6&yPPYvG9X$&c7{7ibDxE03)@@|hL}?a zIaA>+najL|*&=UH=1IzIIchBl56fc6~8RuVo&c~fDCamyd`1#?~t9GbfzX08Q6nb`mlOC_7l@>?Q#j<+E~_i!Dyxa&V#Z_5W-nN7*=g;YD@k7&$(uSj(;#H)e8(+%F6V3oJKI)&oKXu?`?G@RRXG&;Tq z9m}1?RT9oZx;J?jo&UDTl)hxQn8n_{DC4__aZP_j$`_5ryM8lK@=$pG`Z0;5Y^=~` zlcC2%lS~*9i+R;~!vr|gLS7ohxLXJI$3><<4Qp_H-b z9o_p|TlRFe=XbVbv&;3Ntf{=AzFuz^<(}NVKiAQkE3|3@QyD#2Xw$SC&IdZW^X(mN IDaZ)^1K?q}kN^Mx diff --git a/xst/work/sub00/vhpl02.vho b/xst/work/sub00/vhpl02.vho index fc5e2ecf4868735f85c877aa7a3c8158a9dd3327..26b6e956a3861acc88565a4a2ee1d49e9ca62150 100644 GIT binary patch delta 646 zcmY*XJ4ixd6#nk3NbPN;SQsvmgka&)5GwQ#icp9$aBz@t5OPRx2n_-Y8j`=Ep`jp< zf--Sx3ZccZv8lPS(W%b)?+tDj{>M4z`_K8#cW%}7cJVc*{yGcd9N(+-i}N*yArcRyH?uI0{$34p&w5?(*bgI;79>3i@-HS?nbSY zE+E(g;&~wb0c7uid>N>I0at0@W)5idL|U1rI-;gL`1M3;Ep9&W5rDn}S`J|Om<_R| z76O`ta6TKv32c88f>@*mL`$ETGFDTu%@wn#4LAs7I^t8G_9#0h8(VOQd!e9LJZt@x zVuEX87JW(9NCgU6;4mz`D0}4@H7yHUqQ>^fLo_UpY?eH%okFs6@3xA=rgHqiN+|O& zLOg1Y%IS-=Z5&SCf%9AG#l@LCqo!qHZ5%9*6q_)T17&9IZ5&3-$uS2jq0A>ayy`AD jkI4of;~|qs0e7SKFEGxNm+FO#h}v$6oWVbns2Sy7-&k); literal 1536 zcmZ`(J!q3r6g_RKw*EBM7DOCGL`xMKqEaw}Bu!%)4bdd68bL}h8iU#-ZH;sgsyHY( zC^%GbP!L2ML>#1(h?Ap>;OJO4M>o&C?|$hkrWd~VbH01-JMX@CUsrdi2f!wNM==TP zy~vST{?L!!U<`cCx5sIYdNQe0$`BiWuIYS}Vb(#A!eYuTc_(%oJ61=7{isvulkrohxS2xzt1_T1>!JwG8Y_wAT+4>;r}efRp!t z=|8|Ndfbizk6!_=iNE^=eEdK^vF*U&zrZ**T>1$#Gr-~=;K?K44e|HifKShXLC(YE zZ(DIRX=PQ~5ny+d$u8+E$U_TQ`t1;DWeu82PG-+Iz$gv=;OU5Du>&Knm)1Pu9B$#E zQVeYvoAbxm^JGT3l`-2&j529)Z3AD*SiVH0tIgJ}5>Y8x$*k<%ld{!iPAC>qBd(Wn z`hlv8;iw_i~d`m~R`nfZEB4AG3!N2K&*3h8lo2A&q4j+~&F#nkb7E#*+T{Z!CRO2p)zx`UaegT9$Ik;-bW$! Q&M%Z_E9E(^s1_pQA9S3zfB*mh diff --git a/xst/work/sub00/vhpl03.vho b/xst/work/sub00/vhpl03.vho index 85903e6329cc83bd251d68d2793f157e2dfb1717..734646570f72d4824f8c0763069e264101dbba5f 100644 GIT binary patch literal 4248 zcma)94``KD6hG%abw15xYS=K-sWoRZoryWq_080rWbv{U%Z9vk&WF0^{y3XxG?UT7 zqKSkH2}eZ?2^kTwLSmW7D#%GhlZ+-2Oe~s|u|n#1&b{}0-`s*Oy!)Md&hPxc-+kX@ z6B|QBWAS^N))P%W2}(yI@AIXeCUc-Gq*s8Nu)15Z9bN(c#m*#i#3VcNfEdDN8B5VtVL)^1HmcpvlfJ|=niR9=yEpS!*JaaUY+uI>`>A%2qPFQ3b1W6~3UulUj(gYlsda3yc82R-)ZWS->`5xQr)o zca#(7ltgi{X+(Pq9WL}Fn!J6?|J9QE?3Uh$!jOYb0d4ADc} zGEU~BPuDGt+56i>bn_Ri5!)wCM4zUJPTNQVaN*~@Av~qJRB;YZ;Law9&KD7V)TWXE zGlyD;-|4IH%UpdRX3_k0qkA8fNsfC1-96QBS(qJn!>Z7?h#a@<526n`L#*UC&#okz zgYel9bzTFd+bW0IaV7=e13>W-aJ44LZld?m!}ln$Qiz0 z;_b?>!#sYm8+x=d_o@UIZw^>p%sjSBX|Xt8DaA#1lCzkJjm|#^Bzl2pM4uOTs;14+ zTsk<)E-+V6yP8tP$z-wIjVj5Xj~kBLGl{4%$1@#P?T)Cv+C6MD6NQM~5m*+xueXGD zBN^%YjgwB^M|rnoU!(Uvvz5@9?euW|;9X`^C31otselxc%^k#BT8Y;bDLT-Zm$vCM za)NDbB(6#h!(p{D<#7H46HVdrPFuO*yMZ5#D_(lHP$^!IxKFHBTBe9z#eEKoilZ`&Xh-SAoB70VWfLFjQgom**X8Il(u?*X;&=Op;bO%52OKW!1vG`r z9X%?!r5CTXC|7E_L@91=$6lJEv`i7bXfKXRW$YVmKi~MZn&EnHlqe!o75o07D$wQk zJ5_4ytGyVp@3j~ESaC90toC9AR^AbQp*O^4yxKa#D>C(7r5A+`i(a5IjA%2x@c$`% zCPCa?MBJ59bbuL_I@@&B98$96bGgd3QIedaBTfjyIm#b4DNZJ-`UARB4-tGOme?bg zfiPsUTF6YSAv0M#5C!-Go=w~n*Hz|!g1^Um{9_~WcO5DLPGY{$rz^zlC|UAPN##aj z9#L71`I~OV$s{os=}J9B@IJDqR4Nl+vsJcVhWt;L{wwfrIf}qZ?7nCP$G@|35uuaI z(!|=88|bVSK*=gjewF!L=f8W2{~WMb=Ud{HuSG;79-=jNm9*K%mXFr4Sb%-?)u8Ij z6xml=dF&q4P@*(bOB5x0Yq?TVcd5xJy(}Fhv$2m%qFa#x5{;9Yp0BHyFc&MO-(2`c z_P9)C&AmN}u$46{5?Wcapi{Z1to!B`oGEBiZZmngEKPBdas!>!0w`G_60wJosjOL+ zBD17Px!$)__94p=57C|A@{&w!RrG5>GFcZ_jZ7W=sbE&;k}2y@X`~|&tB#9~m>JoM zlPP1#)(>yW-9wt4wli>{yT;f&sj_R(3nKbOBBuoFq<nk;nQDW4TRjV-3|N@IMW_p zv1t3As^p?Q>FTOQ4OPj4h8^4N9XvK*ZDStis2BhsCp;IqveTG->Dry(!WsQvfY^)b zjjyXuR&PtzZW94Utgc>2F?l=4ExXcHsjXWI3zykHA}E)xUAxvHreqL%tLsu#)s^0i GqW%SY1pI{n literal 4016 zcma)94`^0Z6hG&Fb90)>)Q~0qS(J?D4M`Q3Bg zyWe|kVi`m<0l#->6VcR@kaUzUyqxW2GSw}iyb98!bv3&Z&B^-4#2)x`k*PYS_Nka- zMDq)X)+Nc5jS$Ux*6Q+eh&Gm!+32G(naXbU7FRIH8X%h7D~m8*02%n14Wguc$}C@o zt09`+j>uK2rMabg4eZKcn~6?52MvebBn&Ww|Abxjjmd+E2$f5@7wTL~olp`9x^zhJ zAz~6lq?QEJJ3=coN&tx#kJ^a91^9vZiXL3kh!^*RL8oeIZL3}byK>kFai@{8$q?ch z%{7htw2)EW_iDLeC5`!bp5i^i@s?=9+gZU|^Mm*15*ra-(!e_h8dctBn+4NdL|4w? z3jh3RDDqaK>OOU;unqT?x$7gA%r8z`pUM%UszEYa2EEVq*=k`PAfC`m)KI3D0P}I8 zM7ORgh%a7+WQeo7h^Mqiaj_Xh$rgtTGl{2Y4`GKGVCOUCG!WlY6XnjcOp`@2x$m3}9(KbKof4lDcnCOgE+{ubJmusMaN$qm0h z@R=otm>W0BR1m*KR&_m*cE8Z=VbwQbo*0kEi;9ZkaeENn%2f*@-h{@Fzp!@~60ZjD)7Mm%DdHD7b$C;rBE+vyC0<{W?)O->>LV4>o}<_BBSO@00-mDf_j1&7M=xF;P_5K-nM!+CoW|+?!ObWl3_5 zjyWL+=O}mgD^4b<-b!6*h6p~zCHCl5Ai(l(@c*ZmOw3k3!29Yv;xpyypZcHRi+GN| z?IZqrL@mGx=ks~G0%s@5lE3R#ZG`if$|~pnY{khWoaKEE-jX4L_spJFt0I1AQQ5x7 z@$anv3jAY2wK$30`zHg(KbNT%44qvg{5h=JKxd5rNmkk9SE-k4{wXoOV#%*+DS*!r2pJz76AD@V1cpL-7$oS8GK+BWibS(>Gtstt73 z2#{ojd=d{MRX?+^pUizjs`a_0eh*uXWQg|Lv9E&Fkk&6^bz0QVOcmLVRQ>F3Q6Vri zYfIEDwOi|uupqv#9lkB}-Q61fr&y&f^?Dv~frD)hs zfK2_%l%raX2zivHokB}KAY=%&IVvHTrS)XCuH|N)Z7r%{`+4Cq$!j1Qm37H}C7yi& zYaryy(|ws9hGxbis|ugrTbn4{TVGdO*j$@f+PrJ0{g#Xk+t`>hofHEA;-u}7Pg%-1 zP~W&4S|p?Y3y^qGzllxz5_LNhjXTAF5o_8fqJ+E~;;Lh1g%$l&r0*@nID8FZzGr;{X5v diff --git a/xst/work/sub00/vhpl04.vho b/xst/work/sub00/vhpl04.vho new file mode 100644 index 0000000000000000000000000000000000000000..9a3cdc9691ce467a3a6fd625fbd0edbf65f3f736 GIT binary patch literal 913 zcmaJw-73mj&EaIH&ZPr%HJbm5buk!%4=*T8Z?SZ0kqp#LKgJ~_5SzsoWf3AelSr#sEk&KZ#`w3d`D9iq`NMDr=j5wtXivt*4ls@(A| z+b(b#BoBrM#Dn6&82fui@9t>Gf6=Oz{HNl3b*SjpsWl+AY*c3;|U}J=7ziGDX mr16^n^W^Mw%f+i^wRUc9KC{h*W%6XFTWXX`ZThnig&2RgesPTe literal 0 HcmV?d00001 diff --git a/xst/work/sub00/vhpl05.vho b/xst/work/sub00/vhpl05.vho new file mode 100644 index 0000000000000000000000000000000000000000..378edb032c3884f32230432bcb9cc204989ad242 GIT binary patch literal 1864 zcmb7FOGs2v82)B7O?ybT$mk(uG1x*8B2X&ELMa!4m4TJWO(z>N$7a-M5!i$1wWw&3 z&_W@ID2P^JE+Q(TT7)iwgrZsm8UzLf20`EdnCTL2I&jYXukU}(IX4o`%Lg!ryB^zs zl3`N1nqwcThl(m^*dBf!sy>PvW%ntTi_+iUcjx2jS3kELc! z2_qJc1M@~r8^TA4Z3Q-;bR7BedSFZP4?i6M-)aEy$29;9esL{-#F|U;%+I9y`%JR< zyh_jTEVBq;mS$e<>Dk_0>vnoZ^NBxZ(=eEq@I{irXF3D!R z$L!?Xa9`>y;{sdeezaN6?)}n>bVo8=#G1DFPN(p;CyI zAY5^7mhD`qu%=p|u~|%V>CNW=pOR%lu~L?`A83862D@yuSxx!Ykfz|_UBm1w0$OH( z&&U79H16amh4RigsdpA0yv;VG%!FQ3Nlt{`(CMf4*9bm4^*^yfM59vc+;@Cijf(rZ&Q63dmo! zP{1|;GoXx5#^C`ajpf|3sFkKlvVMI+c)f%X!%YWjFW6;D=~xBh*Z-^y!gcyul1_2Q zO!jpu+m}B9Dz22>p4