Release 14.1 - xst P.15xf (nt) Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. --> Parameter TMPDIR set to xst/projnav.tmp Total REAL time to Xst completion: 0.00 secs Total CPU time to Xst completion: 0.12 secs --> Parameter xsthdpdir set to xst Total REAL time to Xst completion: 0.00 secs Total CPU time to Xst completion: 0.12 secs --> Reading design: main.prj TABLE OF CONTENTS 1) Synthesis Options Summary 2) HDL Compilation 3) Design Hierarchy Analysis 4) HDL Analysis 5) HDL Synthesis 5.1) HDL Synthesis Report 6) Advanced HDL Synthesis 6.1) Advanced HDL Synthesis Report 7) Low Level Synthesis 8) Partition Report 9) Final Report 9.1) Device utilization summary 9.2) Partition Resource Summary 9.3) TIMING REPORT ========================================================================= * Synthesis Options Summary * ========================================================================= ---- Source Parameters Input File Name : "main.prj" Input Format : mixed Ignore Synthesis Constraint File : NO ---- Target Parameters Output File Name : "main" Output Format : NGC Target Device : xc3s250e-5-cp132 ---- Source Options Top Module Name : main Automatic FSM Extraction : YES FSM Encoding Algorithm : Auto Safe Implementation : No FSM Style : LUT RAM Extraction : Yes RAM Style : Auto ROM Extraction : Yes Mux Style : Auto Decoder Extraction : YES Priority Encoder Extraction : Yes Shift Register Extraction : YES Logical Shifter Extraction : YES XOR Collapsing : YES ROM Style : Auto Mux Extraction : Yes Resource Sharing : YES Asynchronous To Synchronous : NO Multiplier Style : Auto Automatic Register Balancing : No ---- Target Options Add IO Buffers : YES Global Maximum Fanout : 100000 Add Generic Clock Buffer(BUFG) : 24 Register Duplication : YES Slice Packing : YES Optimize Instantiated Primitives : NO Use Clock Enable : Yes Use Synchronous Set : Yes Use Synchronous Reset : Yes Pack IO Registers into IOBs : Auto Equivalent register Removal : YES ---- General Options Optimization Goal : Speed Optimization Effort : 1 Keep Hierarchy : No Netlist Hierarchy : As_Optimized RTL Output : Yes Global Optimization : AllClockNets Read Cores : YES Write Timing Constraints : NO Cross Clock Analysis : NO Hierarchy Separator : / Bus Delimiter : <> Case Specifier : Maintain Slice Utilization Ratio : 100 BRAM Utilization Ratio : 100 Verilog 2001 : YES Auto BRAM Packing : NO Slice Utilization Ratio Delta : 5 ========================================================================= ========================================================================= * HDL Compilation * ========================================================================= Compiling vhdl file "C:/fpga/pong/speaker.vhd" in Library work. Architecture behavioral of Entity speaker is up to date. Compiling vhdl file "C:/fpga/pong/vga.vhd" in Library work. Architecture behavioral of Entity vga is up to date. Compiling vhdl file "C:/fpga/pong/main.vhd" in Library work. Entity
compiled. Entity
(Architecture ) compiled. ========================================================================= * Design Hierarchy Analysis * ========================================================================= Analyzing hierarchy for entity
in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). ========================================================================= * HDL Analysis * ========================================================================= Analyzing Entity
in library (Architecture ). WARNING:Xst:819 - "C:/fpga/pong/main.vhd" line 85: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are: , , Entity
analyzed. Unit
generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. ========================================================================= * HDL Synthesis * ========================================================================= Performing bidirectional port resolution... Synthesizing Unit . Related source file is "C:/fpga/pong/speaker.vhd". Found 25-bit register for signal . Found 25-bit adder for signal created at line 33. Found 1-bit register for signal . Found 25-bit comparator greatequal for signal created at line 29. Summary: inferred 26 D-type flip-flop(s). inferred 1 Adder/Subtractor(s). inferred 1 Comparator(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/fpga/pong/vga.vhd". Found 1-bit register for signal . Found 1-bit register for signal . Found 10-bit register for signal . Found 10-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 10-bit up counter for signal . Found 11-bit comparator greater for signal created at line 43. Found 11-bit comparator less for signal created at line 43. Found 10-bit up counter for signal . Found 11-bit comparator greater for signal created at line 49. Found 11-bit comparator less for signal created at line 49. Found 11-bit comparator greatequal for signal created at line 32. Found 11-bit comparator greatequal for signal created at line 32. Found 11-bit comparator less for signal created at line 32. Found 11-bit comparator less for signal created at line 32. Found 10-bit adder for signal created at line 36. Found 10-bit subtractor for signal created at line 36. Found 10-bit adder for signal created at line 37. Found 10-bit subtractor for signal created at line 37. Summary: inferred 2 Counter(s). inferred 32 D-type flip-flop(s). inferred 4 Adder/Subtractor(s). inferred 8 Comparator(s). Unit synthesized. Synthesizing Unit
. Related source file is "C:/fpga/pong/main.vhd". WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value 00100000. WARNING:Xst:653 - Signal is used but never assigned. This sourceless signal will be automatically connected to value 00001010. WARNING:Xst:737 - Found 8-bit latch for signal . Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems. Found 6-bit register for signal . Found 6-bit adder for signal created at line 129. Found 6-bit adder for signal created at line 105. Found 6-bit adder for signal created at line 121. Found 6-bit register for signal . Found 6-bit adder for signal created at line 113. Found 11-bit up accumulator for signal . Found 11-bit up accumulator for signal . Found 25-bit register for signal . Found 11-bit adder for signal created at line 124. Found 11-bit adder for signal created at line 116. Found 11-bit subtractor for signal created at line 124. Found 11-bit subtractor for signal created at line 116. Found 11-bit comparator greatequal for signal created at line 161. Found 11-bit comparator greatequal for signal created at line 161. Found 11-bit comparator greatequal for signal created at line 162. Found 11-bit comparator greatequal for signal created at line 162. Found 11-bit comparator greatequal for signal created at line 164. Found 11-bit comparator greatequal for signal created at line 164. Found 11-bit comparator greatequal for signal created at line 166. Found 11-bit comparator greatequal for signal created at line 166. Found 11-bit comparator lessequal for signal created at line 161. Found 11-bit comparator lessequal for signal created at line 161. Found 11-bit comparator lessequal for signal created at line 162. Found 11-bit comparator lessequal for signal created at line 162. Found 11-bit comparator lessequal for signal created at line 164. Found 11-bit comparator lessequal for signal created at line 164. Found 11-bit comparator lessequal for signal created at line 166. Found 11-bit comparator lessequal for signal created at line 166. Found 11-bit updown accumulator for signal . Found 11-bit comparator greatequal for signal created at line 134. Found 6-bit comparator greatequal for signal created at line 132. Found 11-bit comparator greater for signal created at line 133. Found 11-bit comparator lessequal for signal created at line 133. Found 11-bit subtractor for signal created at line 134. Found 19-bit register for signal . Found 11-bit adder for signal created at line 124. Found 11-bit adder for signal created at line 124. Found 11-bit adder for signal created at line 116. Found 11-bit adder for signal created at line 124. Found 19-bit adder for signal created at line 151. Found 11-bit comparator greatequal for signal created at line 124. Found 11-bit comparator greatequal for signal created at line 124. Found 11-bit comparator greatequal for signal created at line 116. Found 11-bit comparator greatequal for signal created at line 116. Found 11-bit comparator greatequal for signal created at line 108. Found 11-bit comparator greatequal for signal created at line 100. Found 11-bit comparator lessequal for signal created at line 124. Found 11-bit comparator lessequal for signal created at line 124. Found 11-bit comparator lessequal for signal created at line 116. Found 11-bit comparator lessequal for signal created at line 116. Found 11-bit comparator lessequal for signal created at line 108. Found 11-bit comparator lessequal for signal created at line 100. Found 11-bit subtractor for signal created at line 124. Found 11-bit subtractor for signal created at line 116. Found 11-bit subtractor for signal created at line 116. Found 11-bit subtractor for signal created at line 124. Found 11-bit updown accumulator for signal . Found 11-bit comparator greatequal for signal created at line 140. Found 11-bit comparator greater for signal created at line 139. Found 11-bit comparator lessequal for signal created at line 139. Found 6-bit comparator less for signal created at line 132. Found 11-bit subtractor for signal created at line 140. Found 1-bit register for signal . Found 20-bit comparator greatequal for signal created at line 147. Found 11-bit comparator greater for signal created at line 100. Found 11-bit comparator greater for signal created at line 108. Found 11-bit comparator greater for signal created at line 116. Found 11-bit comparator greater for signal created at line 116. Found 11-bit comparator greater for signal created at line 124. Found 11-bit comparator greater for signal created at line 124. Found 11-bit comparator less for signal created at line 100. Found 11-bit comparator less for signal created at line 108. Found 11-bit comparator less for signal created at line 116. Found 11-bit comparator less for signal created at line 116. Found 11-bit comparator less for signal created at line 124. Found 11-bit comparator less for signal created at line 124. Found 20-bit comparator less for signal created at line 147. Summary: inferred 4 Accumulator(s). inferred 57 D-type flip-flop(s). inferred 19 Adder/Subtractor(s). inferred 50 Comparator(s). Unit
synthesized. ========================================================================= HDL Synthesis Report Macro Statistics # Adders/Subtractors : 24 10-bit adder : 2 10-bit subtractor : 2 11-bit adder : 6 11-bit subtractor : 8 19-bit adder : 1 25-bit adder : 1 6-bit adder : 4 # Counters : 2 10-bit up counter : 2 # Accumulators : 4 11-bit up accumulator : 2 11-bit updown accumulator : 2 # Registers : 14 1-bit register : 6 10-bit register : 2 19-bit register : 1 25-bit register : 2 6-bit register : 2 8-bit register : 1 # Latches : 1 8-bit latch : 1 # Comparators : 59 11-bit comparator greatequal : 18 11-bit comparator greater : 10 11-bit comparator less : 10 11-bit comparator lessequal : 16 20-bit comparator greatequal : 1 20-bit comparator less : 1 25-bit comparator greatequal : 1 6-bit comparator greatequal : 1 6-bit comparator less : 1 ========================================================================= ========================================================================= * Advanced HDL Synthesis * ========================================================================= WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. ========================================================================= Advanced HDL Synthesis Report Macro Statistics # Adders/Subtractors : 24 10-bit adder : 2 10-bit subtractor : 2 11-bit adder : 6 11-bit subtractor : 8 19-bit adder : 1 25-bit adder : 1 6-bit adder : 4 # Counters : 2 10-bit up counter : 2 # Accumulators : 4 11-bit up accumulator : 2 11-bit updown accumulator : 2 # Registers : 115 Flip-Flops : 115 # Latches : 1 8-bit latch : 1 # Comparators : 59 11-bit comparator greatequal : 18 11-bit comparator greater : 10 11-bit comparator less : 10 11-bit comparator lessequal : 16 20-bit comparator greatequal : 1 20-bit comparator less : 1 25-bit comparator greatequal : 1 6-bit comparator greatequal : 1 6-bit comparator less : 1 ========================================================================= ========================================================================= * Low Level Synthesis * ========================================================================= WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. INFO:Xst:2261 - The FF/Latch in Unit
is equivalent to the following FF/Latch, which will be removed : INFO:Xst:2261 - The FF/Latch <7> in Unit is equivalent to the following 7 FFs/Latches, which will be removed : <6> <5> <4> <3> <2> <1> <0> WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. Optimizing unit
... WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block
. This FF/Latch will be trimmed during the optimization process. WARNING:Xst:1293 - FF/Latch has a constant value of 1 in block
. This FF/Latch will be trimmed during the optimization process. Optimizing unit ... Optimizing unit ... Mapping all equations... Building and optimizing final netlist ... INFO:Xst:2261 - The FF/Latch in Unit
is equivalent to the following 7 FFs/Latches, which will be removed : Found area constraint ratio of 100 (+ 5) on block main, actual ratio is 13. FlipFlop lp_5 has been replicated 1 time(s) FlipFlop rp_5 has been replicated 1 time(s) Final Macro Processing ... ========================================================================= Final Register Report Macro Statistics # Registers : 145 Flip-Flops : 145 ========================================================================= ========================================================================= * Partition Report * ========================================================================= Partition Implementation Status ------------------------------- No Partitions were found in this design. ------------------------------- ========================================================================= * Final Report * ========================================================================= Final Results RTL Top Level Output File Name : main.ngr Top Level Output File Name : main Output Format : NGC Optimization Goal : Speed Keep Hierarchy : No Design Statistics # IOs : 20 Cell Usage : # BELS : 1250 # GND : 1 # INV : 71 # LUT1 : 76 # LUT2 : 225 # LUT2_D : 2 # LUT2_L : 10 # LUT3 : 65 # LUT3_L : 3 # LUT4 : 127 # LUT4_D : 4 # LUT4_L : 6 # MUXCY : 404 # MUXF5 : 7 # VCC : 1 # XORCY : 248 # FlipFlops/Latches : 146 # FD : 25 # FDE : 68 # FDR : 40 # FDRE : 12 # LD : 1 # Clock Buffers : 2 # BUFG : 1 # BUFGP : 1 # IO Buffers : 19 # OBUF : 19 ========================================================================= Device utilization summary: --------------------------- Selected Device : 3s250ecp132-5 Number of Slices: 314 out of 2448 12% Number of Slice Flip Flops: 146 out of 4896 2% Number of 4 input LUTs: 589 out of 4896 12% Number of IOs: 20 Number of bonded IOBs: 20 out of 92 21% Number of GCLKs: 2 out of 24 8% --------------------------- Partition Resource Summary: --------------------------- No Partitions were found in this design. --------------------------- ========================================================================= TIMING REPORT NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE. FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT GENERATED AFTER PLACE-and-ROUTE. Clock Information: ------------------ -----------------------------------+------------------------+-------+ Clock Signal | Clock buffer(FF name) | Load | -----------------------------------+------------------------+-------+ VGADriver/FRAME1 | BUFG | 74 | VGADriver/W | NONE(inrgb_7) | 1 | CLK | BUFGP | 71 | -----------------------------------+------------------------+-------+ INFO:Xst:2169 - HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems. Asynchronous Control Signals Information: ---------------------------------------- No asynchronous control signals found in this design Timing Summary: --------------- Speed Grade: -5 Minimum period: 12.278ns (Maximum Frequency: 81.445MHz) Minimum input arrival time before clock: No path found Maximum output required time after clock: 4.326ns Maximum combinational path delay: No path found Timing Detail: -------------- All values displayed in nanoseconds (ns) ========================================================================= Timing constraint: Default period analysis for Clock 'VGADriver/FRAME1' Clock period: 12.278ns (frequency: 81.445MHz) Total number of paths / destination ports: 614702 / 124 ------------------------------------------------------------------------- Delay: 12.278ns (Levels of Logic = 16) Source: lp_6 (FF) Destination: son (FF) Source Clock: VGADriver/FRAME1 rising Destination Clock: VGADriver/FRAME1 rising Data Path: lp_6 to son Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ FDE:C->Q 19 0.514 0.925 lp_6 (lp_6) LUT4:I3->O 5 0.612 0.607 hper_add0001<10>11 (hper_add0001<10>_bdd0) LUT2:I1->O 1 0.612 0.000 hper_add0001<9>11 (hper_add0001<9>1) MUXCY:S->O 0 0.404 0.000 Madd_prescaler_add0002_cy<9> (Madd_prescaler_add0002_cy<9>) XORCY:CI->O 1 0.699 0.426 Madd_prescaler_add0002_xor<10> (prescaler_add0002<10>) LUT2:I1->O 1 0.612 0.000 Mcompar_prescaler_cmp_le0003_lut<10> (Mcompar_prescaler_cmp_le0003_lut<10>) MUXCY:S->O 3 0.752 0.454 Mcompar_prescaler_cmp_le0003_cy<10> (prescaler_cmp_le0003) LUT4_D:I3->O 21 0.612 0.962 son_not0001557_1 (son_not0001557) LUT4:I3->O 1 0.612 0.000 prescaler_mux0000<0>2 (prescaler_mux0000<0>) MUXCY:S->O 1 0.404 0.000 Mcompar_son_cmp_lt0006_cy<0> (Mcompar_son_cmp_lt0006_cy<0>) MUXCY:CI->O 1 0.052 0.000 Mcompar_son_cmp_lt0006_cy<1> (Mcompar_son_cmp_lt0006_cy<1>) MUXCY:CI->O 1 0.052 0.000 Mcompar_son_cmp_lt0006_cy<2> (Mcompar_son_cmp_lt0006_cy<2>) MUXCY:CI->O 1 0.052 0.000 Mcompar_son_cmp_lt0006_cy<3> (Mcompar_son_cmp_lt0006_cy<3>) MUXCY:CI->O 1 0.052 0.000 Mcompar_son_cmp_lt0006_cy<4> (Mcompar_son_cmp_lt0006_cy<4>) MUXCY:CI->O 1 0.052 0.000 Mcompar_son_cmp_lt0006_cy<5> (Mcompar_son_cmp_lt0006_cy<5>) MUXCY:CI->O 21 0.399 0.962 Mcompar_son_cmp_lt0006_cy<6> (Mcompar_son_cmp_lt0006_cy<6>) LUT4:I3->O 1 0.612 0.357 son_not000137 (son_not0001) FDE:CE 0.483 son ---------------------------------------- Total 12.278ns (7.585ns logic, 4.693ns route) (61.8% logic, 38.2% route) ========================================================================= Timing constraint: Default period analysis for Clock 'CLK' Clock period: 7.122ns (frequency: 140.416MHz) Total number of paths / destination ports: 10442 / 122 ------------------------------------------------------------------------- Delay: 7.122ns (Levels of Logic = 38) Source: SpeakerDriver/prescaler_1 (FF) Destination: SpeakerDriver/prescaler_24 (FF) Source Clock: CLK rising Destination Clock: CLK rising Data Path: SpeakerDriver/prescaler_1 to SpeakerDriver/prescaler_24 Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ FDR:C->Q 2 0.514 0.532 SpeakerDriver/prescaler_1 (SpeakerDriver/prescaler_1) LUT1:I0->O 1 0.612 0.000 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<0>_rt (SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<0>_rt) MUXCY:S->O 1 0.404 0.000 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<0> (SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<0>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<1> (SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<1>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<2> (SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<2>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<3> (SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<3>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<4> (SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<4>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<5> (SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<5>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<6> (SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<6>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<7> (SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<7>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<8> (SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<8>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<9> (SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<9>) MUXCY:CI->O 26 0.288 1.140 SpeakerDriver/Mcompar_sout_cmp_ge0000_cy<10> (SpeakerDriver/sout_cmp_ge0000) LUT2:I1->O 1 0.612 0.000 SpeakerDriver/Madd_prescaler_add0000_lut<0> (SpeakerDriver/Madd_prescaler_add0000_lut<0>) MUXCY:S->O 1 0.404 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<0> (SpeakerDriver/Madd_prescaler_add0000_cy<0>) MUXCY:CI->O 1 0.052 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<1> (SpeakerDriver/Madd_prescaler_add0000_cy<1>) MUXCY:CI->O 1 0.052 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<2> (SpeakerDriver/Madd_prescaler_add0000_cy<2>) MUXCY:CI->O 1 0.052 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<3> (SpeakerDriver/Madd_prescaler_add0000_cy<3>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<4> (SpeakerDriver/Madd_prescaler_add0000_cy<4>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<5> (SpeakerDriver/Madd_prescaler_add0000_cy<5>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<6> (SpeakerDriver/Madd_prescaler_add0000_cy<6>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<7> (SpeakerDriver/Madd_prescaler_add0000_cy<7>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<8> (SpeakerDriver/Madd_prescaler_add0000_cy<8>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<9> (SpeakerDriver/Madd_prescaler_add0000_cy<9>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<10> (SpeakerDriver/Madd_prescaler_add0000_cy<10>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<11> (SpeakerDriver/Madd_prescaler_add0000_cy<11>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<12> (SpeakerDriver/Madd_prescaler_add0000_cy<12>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<13> (SpeakerDriver/Madd_prescaler_add0000_cy<13>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<14> (SpeakerDriver/Madd_prescaler_add0000_cy<14>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<15> (SpeakerDriver/Madd_prescaler_add0000_cy<15>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<16> (SpeakerDriver/Madd_prescaler_add0000_cy<16>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<17> (SpeakerDriver/Madd_prescaler_add0000_cy<17>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<18> (SpeakerDriver/Madd_prescaler_add0000_cy<18>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<19> (SpeakerDriver/Madd_prescaler_add0000_cy<19>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<20> (SpeakerDriver/Madd_prescaler_add0000_cy<20>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<21> (SpeakerDriver/Madd_prescaler_add0000_cy<21>) MUXCY:CI->O 1 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<22> (SpeakerDriver/Madd_prescaler_add0000_cy<22>) MUXCY:CI->O 0 0.051 0.000 SpeakerDriver/Madd_prescaler_add0000_cy<23> (SpeakerDriver/Madd_prescaler_add0000_cy<23>) XORCY:CI->O 1 0.699 0.000 SpeakerDriver/Madd_prescaler_add0000_xor<24> (SpeakerDriver/prescaler_add0000<24>) FDR:D 0.268 SpeakerDriver/prescaler_24 ---------------------------------------- Total 7.122ns (5.449ns logic, 1.672ns route) (76.5% logic, 23.5% route) ========================================================================= Timing constraint: Default OFFSET OUT AFTER for Clock 'CLK' Total number of paths / destination ports: 11 / 11 ------------------------------------------------------------------------- Offset: 4.326ns (Levels of Logic = 1) Source: VGADriver/RGB_7 (FF) Destination: RGB<7> (PAD) Source Clock: CLK rising Data Path: VGADriver/RGB_7 to RGB<7> Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ FDR:C->Q 8 0.514 0.643 VGADriver/RGB_7 (VGADriver/RGB_7) OBUF:I->O 3.169 RGB_0_OBUF (RGB<0>) ---------------------------------------- Total 4.326ns (3.683ns logic, 0.643ns route) (85.1% logic, 14.9% route) ========================================================================= Total REAL time to Xst completion: 10.00 secs Total CPU time to Xst completion: 9.75 secs --> Total memory usage is 225232 kilobytes Number of errors : 0 ( 0 filtered) Number of warnings : 61 ( 0 filtered) Number of infos : 4 ( 0 filtered)