pong/clockadjust.vhd

15 lines
181 B
VHDL
Raw Normal View History

2013-02-22 02:27:53 +00:00
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_1164.ALL;
entity clockadjust is
end clockadjust;
architecture Behavioral of clockadjust is
begin
end Behavioral;